意见反馈 手机随时随地看行情
  • 公司公告

公司公告

拓荆科技:拓荆科技首次公开发行股票并在科创板上市招股说明书2022-04-14  

                            本次股票发行后拟在科创板市场上市,该市场具有较高的投资风险。科创板
公司具有研发投入大、经营风险高、业绩不稳定、退市风险高等特点,投资者面
临较大的市场风险。投资者应充分了解科创板市场的投资风险及本公司所披露的
风险因素,审慎作出投资决定。




                拓荆科技股份有限公司
                (辽宁省沈阳市浑南区水家 900 号)


    首次公开发行股票并在科创板上市招股说明书




                      保荐机构(主承销商)



            (深圳市福田区福田街道福华一路 111 号)


                           联席主承销商



            (北京市西城区阜成门外大街 29 号 1-9 层)
拓荆科技股份有限公司                                           招股说明书



                             发行人声明

     中国证监会、交易所对本次发行所作的任何决定或意见,均不表明其对注册
申请文件及所披露信息的真实性、准确性、完整性作出保证,也不表明其对发行
人的盈利能力、投资价值或者对投资者的收益作出实质性判断或保证。任何与之
相反的声明均属虚假不实陈述。

     根据《证券法》的规定,股票依法发行后,发行人经营与收益的变化,由发
行人自行负责;投资者自主判断发行人的投资价值,自主作出投资决策,自行承
担股票依法发行后因发行人经营与收益变化或者股票价格变动引致的投资风险。

     发行人及全体董事、监事、高级管理人员承诺招股说明书及其他信息披露资
料不存在虚假记载、误导性陈述或重大遗漏,并对其真实性、准确性、完整性承
担个别和连带的法律责任。

     发行人第一大股东承诺本招股说明书不存在虚假记载、误导性陈述或重大遗
漏,并对其真实性、准确性、完整性承担个别和连带的法律责任。

     公司负责人和主管会计工作的负责人、会计机构负责人保证招股说明书中财
务会计资料真实、完整。

     发行人及全体董事、监事、高级管理人员以及保荐人、承销的证券公司承诺
因发行人招股说明书及其他信息披露资料有虚假记载、误导性陈述或者重大遗
漏,致使投资者在证券发行和交易中遭受损失的,将依法赔偿投资者损失。

     保荐人及证券服务机构承诺因其为发行人本次公开发行制作、出具的文件有
虚假记载、误导性陈述或者重大遗漏,给投资者造成损失的,将依法赔偿投资者
损失。




                                  1-1-1
拓荆科技股份有限公司                                                     招股说明书



                                本次发行概览


发行股票类型                 人民币普通股(A 股)
                             本次公开发行股票采用公开发行新股方式,公开发行
发行股数、股东公开发售股数
                             3,161.9800 万股,占发行后总股本的 25.00%。本次发行中,
(如有)
                             公司股东不进行公开发售股份。
每股面值                     人民币 1.00 元

每股发行价格                 人民币 71.88 元

发行日期                     2022 年 4 月 8 日

拟上市的证券交易所和板块     上海证券交易所科创板

发行后总股本                 12,647.8797 万股

保荐机构(主承销商)         招商证券股份有限公司

联席主承销商                 国开证券股份有限公司
                             2022 年 1 月 4 日,公司召开第一届董事会第七次会议,审
                             议通过了《关于公司高级管理人员及核心员工参与公司首次
                             公开发行股票并在科创板上市战略配售的议案》,同意公司
                             高级管理人员与核心员工设立专项资产管理计划并参与公
                             司本次发行战略配售。2022 年 3 月 18 日,经发行人董事长
发行人高级管理人员、员工参   授权签署了《关于调整战略配售计划参与人员认购金额及比
与战略配售情况               例的通知》。前述资管计划参与战略配售数量为 308.0974
                             万股,占本次公开发行规模的 9.74%,参与认购规模为人民
                             币 22,256.7713 万元(含新股配售经纪佣金)。招商资管拓
                             荆科技员工参与科创板战略配售集合资产管理计划承诺获
                             得本次配售的股票持有期限为自发行人首次公开发行并上
                             市之日起 12 个月。
                             保荐机构安排子公司招商证券投资有限公司参与本次发行
                             战略配售,最终跟投比例为本次公开发行股份的 3%,即
保荐人相关子公司参与战略     94.8594 万股,参与认购规模为人民币 6,818.4937 万元。招
配售情况                     商证券投资有限公司本次跟投获配股票的限售期为 24 个
                             月,限售期自本次公开发行的股票在上交所上市之日起开始
                             计算。
招股说明书签署日             2022 年 4 月 14 日




                                        1-1-2
拓荆科技股份有限公司                                                 招股说明书



                             重大事项提示

     本重大事项提示仅对本公司特别事项及重大风险做扼要提示。投资者应认真
阅读本招股说明书正文内容,对本公司做全面了解。

     本公司特别提醒投资者注意以下事项:

一、重大风险因素

     (一)技术人员流失及无法持续引入高端技术人才的风险

     公司所处的半导体专用设备行业属于技术密集型行业,涉及等离子体物理、
射频及微波学、微观分子动力学、结构化学、光谱及能谱学、真空机械传输等多
种科学技术及工程领域学科知识的综合应用,高端技术人才是企业持续发展和保
持竞争力的原动力。

     近年来,国内半导体专用设备市场及晶圆制造需求不断增长,行业内人才竞
争日益激烈,专业技术人才呈现严重短缺的情形。报告期各期,公司研发人员离
职人数分别为 19 人、15 人、22 人和 27 人,研发人员离职率分别为 13.10%、10.95%、
13.02%和 12.50%,存在一定的研发人员流失风险。公司若无法持续为技术人才
提供较优的薪酬待遇和发展平台,无法持续吸引全球高端技术人才,则将面临技
术人才流失、储备不足的局面,并可能导致公司创新能力不足。

     (二)扣除非经常性损益后尚未盈利及持续亏损风险

     报告期内,公司净利润分别为-10,322.29 万元、-1,936.64 万元、-1,169.99 万
元及 5,704.87 万元,扣除非经常性损益后归属于母公司所有者的净利润分别为
-14,993.05 万元、-6,246.63 万元、-5,711.62 万元和-2,305.21 万元。报告期内,公
司扣除非经常性损益后尚未实现盈利,主要由于半导体设备行业技术含量高,研
发投入大,产品验证周期长,公司需要持续进行了大量的研发投入。报告期内,
公司研发费用分别为 10,797.31 万元、7,431.87 万元、12,278.18 万元和 12,955.63
万元,占各期营业收入的比例为 152.84%、29.58%、28.19%和 34.65%。研发费
用金额较高和占营业收入的比例较大,是公司亏损主要原因。

     报告期内,公司扣除非经常性损益后的亏损虽已逐年收窄,但如果未来发生


                                    1-1-3
拓荆科技股份有限公司                                            招股说明书


市场竞争加剧、宏观经济和半导体产业的景气度下行、主要客户削减资本性支出
预算、公司大幅增加研发投入或公司不能有效拓展客户等情形,将使公司面临一
定的经营压力,公司未来一定期间内仍存在无法实现扣除非经常性损益后盈利的
风险。

     (三)产品验收周期较长风险

     晶圆制造属于高精密制造领域,对产线上各环节的良率要求极高,任何进入
量产线的设备均需经过长时间工艺验证和产线联调联试。特别是对薄膜沉积设备
而言,由于薄膜是芯片结构的功能材料层,在芯片完成制造、封测等工序后会留
存在芯片中,薄膜的技术参数直接影响芯片性能。生产中不仅需要在成膜后检测
薄膜厚度、均匀性、光学系数、机械应力及颗粒度等性能指标,还需要在完成晶
圆生产流程及芯片封装后,对最终芯片产品进行可靠性和生命周期测试,以衡量
薄膜沉积设备是否最终满足技术标准。因此,晶圆厂对薄膜沉积设备所需要的验
证时间相比其他半导体专用设备可能更长。

     对于新客户的首台订单或新工艺订单设备,一般从前期的客户需求沟通、方
案设计、样机试制、场内工艺测试与调优到客户端样机安装调试、工艺验证到最
后的工艺验证和产品验收通过,整个流程可能需要 6-24 个月甚至更长时间。对
于重复订单设备,由于已通过客户工艺验证,新到设备的工艺技术一般无需做较
大改动,从出货到设备验收通常需要 3-24 个月的时间。如此宽幅的验收周期时
间波动主要是受到客户产线条件、客户端安装调试、客户工艺要求调整、客户验
收流程限制以及其他偶然性因素的影响。

     如果受某些因素影响,公司产品验收周期延长,公司的收入确认将有所延迟。
另外,可能存在公司设备验收不通过、收款时间延后等风险,增加公司的资金压
力,影响公司的财务状况。

     (四)收入依赖 PECVD 系列产品,ALD 产品及 SACVD 产品尚未得到大
规模验证的风险

     公司主要产品为半导体薄膜沉积设备,报告期内,公司 PECVD、ALD、
SACVD 三大类薄膜沉积设备已得到产业化应用。由于公司 PECVD 设备推出较
早,产品线较为丰富,下游市场应用广阔,国内市场成熟。报告期内,PECVD


                                  1-1-4
拓荆科技股份有限公司                                            招股说明书


销售收入占发行人主营业务收入比例较高,分别为 77.98%、100.00%、97.55%和
88.69%。目前,公司 ALD、SACVD 均处于产品发往不同客户端进行产线验证的
市场开拓阶段,形成批量销售需经过不同客户的验证,周期存在不确定性。

     ALD 设备系集成电路先进制程晶圆制造的关键设备,在 14nm 及以下制程逻
辑芯片、17nm 及以下 DRAM 芯片中有着广泛应用。SACVD 设备系 40nm 以下
逻辑电路制造、高性能存储芯片高深宽比填充的关键设备。晶圆制造产线制程越
先进,对于 ALD、SACVD 设备数量的需求越多。我国集成电路制造产业起步较
晚,晶圆制造产线制程与国际先进水平相比较为落后,先进制程产线处于发展建
设阶段,具备先进制程晶圆制造能力的厂商较少。如果国内先进制程晶圆制造产
线发展不及预期,市场对 ALD、SACVD 设备的需求增长较小,发行人 ALD 及
SACVD 设备未来销售增长将受到限制。

       (五)Demo 机台无法实现最终销售的风险

     公司产品主要根据客户的差异化需求和采购意向,进行定制化设计及生产制
造,主要采用库存式生产和订单式生产相结合的生产模式。订单式生产,指公司
与客户签署正式订单后进行的生产活动。库存式生产,指公司尚未获取正式订单
便开始的生产活动,包括根据 Demo 订单或较明确的客户采购意向启动的生产活
动。

     对于 Demo 机台,通常在公司与客户充分沟通产品型号、参数、配置等信息,
便开始组织生产,完工后以 Demo 订单的形式发往客户端进行验证。一般在 Demo
机台获得客户端验证通过后,客户才会下达正式订单进行采购。

     截至报告期末,公司发出商品共计 74 台,其中尚未获取正式订单,仅通过
Demo 订单等形式安排发运的设备共计 25 台,占比为 33.78%。如果遇到集成电
路产业景气度大幅下滑、客户需求大幅减弱、订单意外取消等不利因素,可能导
致 Demo 机台未来最终无法获得客户验证通过,相关机台可能无法实现销售,公
司可能面临调整生产计划、更换已完工机台的部分模块导致生产成本加大、存货
库龄加长等情形,对公司的生产、业绩造成不利影响。

       (六)技术创新风险

     随着全球半导体行业的蓬勃发展,半导体行业技术日新月异,下游客户对薄

                                   1-1-5
拓荆科技股份有限公司                                           招股说明书


膜沉积设备兼容的材料类型、电性能、机械性能、薄膜均匀度等需求也随之不断
变化。因此,公司需要持续保持较大的研发投入,紧跟制造工艺、基础学科发展
的最新方向,积极实验探索新技术路线、新设计思路、新材料性能。

     如果公司未来未能准确理解下游客户的产线设备及工艺技术演进需求,或者
技术创新产品不能契合客户需求,无法适应下游芯片制造工艺节点继续缩小或芯
片制造新技术出现,可能导致公司设备无法满足下游生产制造需要,将可能对公
司的经营业绩造成不利影响。

     (七)市场竞争风险

     半导体设备行业具有很高的技术壁垒、市场壁垒和客户准入壁垒。目前公司
的竞争对手主要为国际知名半导体设备制造商,与中国大陆半导体专用设备企业
相比,国际巨头企业拥有客户端先发优势,产品线丰富、技术储备深厚、研发团
队成熟、资金实力较强等优势,国际巨头还能为同时购买多种产品的客户提供捆
绑折扣。2019 年度,在 CVD 设备全球市场中,应用材料(AMAT)、泛林半导
体(Lam)、东京电子(TEL)的市场占有率分别为 30%、21%和 19%;在 ALD
设备全球市场中,东京电子(TEL)、先晶半导体(ASMI)的市场占有率分别
为 31%和 29%。相比国际巨头,公司的综合竞争力处于弱势地位,市场占有率
较低。

     另外,国内半导体设备厂商存在互相进入彼此业务领域,开发同类产品的可
能。例如,在 ALD 设备领域,除发行人外,北方华创、盛美上海、屹唐股份及
中微公司已推出自产设备或有进入 ALD 设备市场的计划。

     公司面临国际巨头以及潜在国内新进入者的双重竞争。如果公司无法有效应
对市场竞争环境,则公司的行业地位、市场份额、经营业绩等均会受到不利影响。

     (八)客户相对集中的风险

     报告期内,公司前五大客户主营业务销售金额占当期主营业务收入的比重分
别为 100.00%、84.02%、83.78%和 92.44%。前五大客户集中度较高,主要由于
集成电路制造行业属于资本和技术密集型,国内外主要集成电路制造商均呈现经
营规模大、数量少的行业特征。公司客户集中度较高可能会导致公司在商业谈判
中处于弱势地位,且公司的经营业绩与下游半导体厂商的资本性支出密切相关,

                                  1-1-6
拓荆科技股份有限公司                                            招股说明书


客户自身经营状况变化也可能对公司产生较大的影响。如果公司后续不能持续开
拓新客户或对少数客户形成重大依赖,将不利于公司未来持续稳定发展。

     (九)无控股股东及实际控制人风险

     截至本招股说明书签署日,公司单个股东持有或控制的股份数量均未超过公
司总股本的 30%,无法形成控股,单个股东亦不能决定董事会多数席位,使得公
司无控股股东及实际控制人。公司经营方针及重大事项的决策均由股东大会或董
事会按照公司议事规则充分讨论后确定,避免了因单个股东控制引起决策失误而
导致公司出现重大损失的可能,但不排除存在因无控股股东及实际控制人导致公
司决策效率低下的风险。

     此外,由于公司股权相对分散,不排除未来公司无控股股东的状态发生变化,
导致公司经营管理思路发生变化的风险。

二、本次发行相关主体作出的重要承诺

     公司提示投资者认真阅读公司、股东、董事、监事、高级管理人员、核心技
术人员以及本次发行的保荐机构及证券服务机构等作出的各项重要承诺、未能履
行承诺的约束措施,具体内容详见本招股说明书“第十节 投资者保护”之“五、
重要承诺”。

三、利润分配政策

     (一)发行前滚存利润的分配安排

     2021 年 3 月 31 日,公司 2021 年第二次临时股东大会作出决议,公司首次
公开发行股票前的滚存未分配利润或累计未弥补亏损将由发行后的新老股东按
照届时的持股比例共同享有或承担。

     (二)发行后的股利分配政策及未来三年分红回报规划

     具体内容参见本招股说明书“第十节 投资者保护”之“二、股利分配政策”
及“第十节 投资者保护”之“五、重要承诺”之“(六)利润分配政策的承诺”。

四、财务报告审计截止日后经营情况及主要财务信息

     (一)财务报告审计截止日后经营情况、主要财务信息


                                   1-1-7
拓荆科技股份有限公司                                                              招股说明书


     财务报告审计截止日至本招股说明书签署日,公司所处行业的产业政策及行
业周期,进出口业务状态,税收政策,业务模式及竞争趋势,主要原材料的采购
规模及采购价格,主要产品的生产、销售规模及销售价格,主要客户及供应商的
构成,重大合同条款或实际执行情况均未发生重大变化,不存在新增对未来经营
可能产生较大影响的诉讼或仲裁事项,不存在重大安全事故,经营情况与经营业
绩较为稳定,总体运营情况良好,不存在重大异常变动情况,不存在其他可能影
响投资者判断的重大事项。

     公司 2021 年度财务数据已经天健会计师审阅,主要财务数据如下:

     1、合并资产负债表主要财务数据

                                                                                 单位:万元
     项目         2021 年 12 月 31 日   2020 年 12 月 31 日        变动金额       变动比率

   资产总额                251,772.82             181,406.91         70,365.91       38.79%

  所有者权益               119,333.76             112,249.87          7,083.89        6.31%
归属于母公司
                           119,260.77             112,220.97          7,039.80        6.27%
所有者权益

     截至 2021 年 12 月 31 日,公司资产总额 251,772.82 万元,较 2020 年 12 月
31 日增长 70,365.91 万元,增幅 38.79%,主要原因如下:

     (1)公司业务规模逐年增长,使得存货增长 44,107.78 万元,应收账款增长
3,071.29 万元。

     (2)2021 年,公司为进一步扩大产能,新增上海闵联临港园区三期标准厂
房等固定资产投资,使得固定资产及在建工程增长 12,453.82 万元。

     (3)其他流动资产增长 7,761.86 万元,主要系增值税留抵税额的增长。

     截至 2021 年 12 月 31 日,公司所有者权益和归属于母公司所有者权益分别
为 119,333.76 万元、119,260.77 万元,较 2020 年 12 月 31 日有所增加,增幅分
别为 6.31%、6.27%。

     2、合并利润表及合并现金流量表主要财务数据

                                                                                 单位:万元
                2021 年      2020 年
    项目                                 变动幅度      2021 年度     2020 年度    变动幅度
                10-12 月     10-12 月


                                          1-1-8
拓荆科技股份有限公司                                                            招股说明书


                2021 年       2020 年
    项目                                  变动幅度    2021 年度    2020 年度    变动幅度
                10-12 月      10-12 月
 营业收入       38,406.52     27,232.88     41.03%     75,796.09   43,562.77       73.99%

 营业利润              1.58    4,454.92    -99.96%      5,628.70    -1,375.68            -

 利润总额          999.58      4,459.13    -77.58%      6,737.85    -1,169.99            -

  净利润           987.86      4,459.13    -77.85%      6,692.73    -1,169.99            -
归属于母公
司股东的净      1,052.27   4,480.22        -76.51%      6,848.65    -1,148.90            -
    利润
扣除非经常
性损益后归
属于母公司    -5,894.98    1,176.03               -    -8,200.19    -5,711.62            -
股东的净利
    润
经营活动产
生的现金流    21,345.33   41,969.59        -49.14%     13,748.06   30,891.27      -55.50%
  量净额
注:负数无法计算变动比率。

     2021 年四季度,公司营收规模进一步扩大,较 2020 年同期增长 11,173.64
万元,同比增长 41.03%;2021 年全年公司营业收入较 2020 年度同比增长
32,233.32 万元,增幅 73.99%,主要是由于:①国内半导体设备行业需求增长,
为公司业绩增长提供了良好的产业环境;②公司持续大量的研发投入加快了公司
产品升级创新的速度和产品成熟度,增强了产品竞争力和客户认可度。

     2021 年四季度,公司归属于母公司股东的净利润较 2020 年同期下降 3,427.95
万元,降幅 76.51%,主要系 2021 年四季度公司营业收入较 2020 年四季度增长
的同时,营业成本相应增长 4,464.65 万元,研发费用相应增长 10,851.58 万元。

     2021 年全年,公司归属于母公司股东的净利润较 2020 年度增加 7,997.55 万
元,主要系公司营业收入大幅增长。

     2021 年 四 季 度 , 公 司 经 营 活 动 产 生 的 现 金 流 量 净 额 较 上 年 同 期 下 降
20,624.26 万元,2021 年全年公司经营活动产生的现金流量净额较 2020 年度下降
17,143.21 万元,主要由于发行人业务规模扩大,原材料等采购量增加,采购支
出随之增加,另外公司员工人数增加,工资性支出增多。

     3、非经常性损益情况

     2021 年度,公司归属于母公司股东的非经常性损益合计金额为 15,048.84 万

                                          1-1-9
拓荆科技股份有限公司                                                          招股说明书


元,主要系计入当期损益的政府补助。2021 年度计入当期损益的政府补助金额
为 15,553.46 万元。

     (二)2022 年一季度业绩预计情况

     公司 2022 年一季度预计业绩情况如下:

                                                                             单位:万元

     项目          2022 年 1-3 月     2021 年 1-3 月       变动金额         变动比率

                       10,000.00 至                          4,225.90 至      73.19%至
   营业收入                                  5,774.10
                          12,000.00                             6,225.90       107.82%
归属于母公司           -2,000.00 至
                                            -1,032.66   -967.34 至 332.66              -
股东的净利润                -700.00
扣除非经常性
                      -3,000.00                                  -599.10
损益后归属于
                             至             -2,400.90                 至               -
母公司股东的
                      -1,600.00                                   800.90
    净利润
注:负数无法计算变动比率。

     前述 2022 年一季度业绩情况系公司初步预计数据,不构成公司的盈利预测
或业绩承诺。

五、其他重大事项

     (一)员工股持股平台借款及股份质押

     芯鑫和等 7 个公司员工持股平台,与外部投资人以相同价格参与了公司 2019
年 12 月的增资。员工持股平台资金来自本次增资的机构投资人或其关联方贷款,
合计金额 18,354.00 万元。因该等借款,上述 7 个员工持股平台将增资取得的发
行人股份 966 万股质押给贷款方。借款、质押详细情况,请见本招股说明书“第
五节 发行人基本情况”之“九、持有发行人 5%以上股份或表决权的主要股东及
实际控制人的基本情况”之“(三)其他持有发行人 5%以上股份或表决权的主
要股东的基本情况”之“2、持有发行人 5%以上股份或表决权的主要股东所持发
行人股份质押或其他有争议的情况”部分。

     如果芯鑫和等 7 个公司员工持股平台未能按照借款协议约定按期偿还借款,
存在质权人行使质权,使得员工持股平台所持发行人股权权属变更的可能性,并
存在进一步影响发行人管理层及员工稳定性的风险。

     (二)股东纠纷及股份冻结

                                          1-1-10
拓荆科技股份有限公司                                               招股说明书


     截至本招股说明书签署日,润扬嘉禾直接持有发行人 6.5710%股份,共青城
盛夏直接持有发行人 0.8212%股份;润扬嘉禾与共青城盛夏存在诉讼纠纷。

     1、纠纷由来

     共青城盛夏原系润扬嘉禾的有限合伙人,持有润扬嘉禾 5,235.2715 万元出资
额,出资比例为 39.6611%。

     (1)股权下翻纠纷

     根据太原市杏花岭区人民法院 2021 年 8 月 6 日作出的《民事判决书》(2021)
晋 0107 民初 2219 号)查明和认定的事实,时任润扬嘉禾普通合伙人青岛润扬锐
捷工程科技有限公司(以下简称“润扬锐捷”)未经其他合伙人一致同意,与润
扬嘉禾于 2020 年 4 月 26 日与共青城盛夏签订《关于青岛润扬嘉禾投资合伙企业
(有限合伙)之合作补充协议》(以下简称《合作补充协议》),约定共青城盛
夏有权要求润扬嘉禾将共青城盛夏对润扬嘉禾出资所对应的拓荆科技股权转让
给共青城盛夏,从而实现共青城盛夏间接所持发行人股份的下翻。2020 年 6-7
月,共青城盛夏向润扬嘉禾提出退伙申请,称其自身不满足私募基金备案条件,
并主张根据其与润扬锐捷签订的《合作补充协议》,要求润扬嘉禾将共青城盛夏
对润扬嘉禾出资所对应的拓荆科技股权转让给共青城盛夏以完成退伙结算。就股
权下翻,润扬嘉禾和共青城盛夏始终未达成一致。

     (2)润扬嘉禾基金备案事宜

     在润扬嘉禾管理人向基金业协会递交基金备案材料后,2020 年 5 月,共青
城盛夏在其自身股权结构中引入新的投资人,且新的投资人中存在最终出资人不
符合私募基金合格投资者条件的情形,因而润扬嘉禾私募基金备案工作未能如期
完成。

     (3)润扬嘉禾将共青城盛夏除名

     2020 年 10 月 23 日,润扬嘉禾认为共青城盛夏不符合合格投资者的法律规
定,触发“法律规定或《合伙协议》约定合伙人必须具有相关资格而丧失该资格”
的当然退伙约定,且不配合进行私募基金备案,给合伙企业造成损失。经其他合
伙人一致同意,将共青城盛夏从合伙中除名。2021 年 1 月 12 日,润扬嘉禾完成
了共青城盛夏退伙后的工商变更登记,并于同月 28 日完成私募基金备案。

                                   1-1-11
拓荆科技股份有限公司                                              招股说明书


     2、诉讼

     (1)案件一

     2020 年 11 月 17 日,共青城盛夏向青岛市黄岛区人民法院对润扬嘉禾提起
诉讼,请求黄岛区人民法院判决润扬嘉禾上述将共青城盛夏除名的《会议决议》
无效。提交答辩状期间,润扬嘉禾提出管辖权异议。经黄岛区人民法院裁定,润
扬嘉禾提出的异议成立,案件移送太原市杏花岭区人民法院管辖。2021 年 8 月 6
日,太原市杏花岭区人民法院作出一审判决,驳回共青城盛夏的诉讼请求,共青
城盛夏对该判决不服,于 2021 年 8 月 12 日向太原市中级人民法院提起上诉。2022
年 2 月 25 日,太原市中级人民法院作出终审判决,驳回上诉,维持原判。

     (2)案件二

     2021 年 1 月 31 日,共青城盛夏向江西省九江市中级人民法院对润扬嘉禾及
其出资人提起诉讼,主张其入伙润扬嘉禾的目的在于通过润扬嘉禾间接持有拓荆
科技股权,在即将实现投资回报的情况下,润扬嘉禾合伙人的除名决定使其丧失
了在润扬嘉禾的财产份额,侵占了其间接持有的拓荆科技股权,诉请被告向其返
还拓荆科技 275.5406 万股股份。提交答辩状期间,润扬嘉禾提起管辖权异议,
九江市中级人民法院裁定异议成立,并于 2021 年 4 月 16 日作出《民事裁定书》,
裁定将上述案件移送山西省太原市中级人民法院。共青城盛夏不服该管辖权移送
裁定,向江西省高级人民法院提起上诉,2021 年 7 月 7 日,江西省高级人民法
院作出终审裁定,将该案件移送太原市中级人民法院处理。截至本招股说明书签
署日,该案件尚在审理中。

     3、股份冻结

     在案件二中,共青城盛夏向九江市中级人民法院申请冻结润扬嘉禾所持发行
人 6.5710%股份(对应 623.3158 万股股份),发行人已收到九江市中级人民法院
于 2021 年 3 月 1 日发出的(2021)赣 04 执保 49 号《协助执行通知书》,冻结
期限自《协助执行通知书》送达之日满两年。

     共青城盛夏要求润扬嘉禾返还的前述发行人 275.5406 万股股份占发行人股
本总额比例为 2.9047%,占比较低。因此,润扬嘉禾与共青城盛夏之间的诉讼不
会对发行人的股权稳定性构成重大不利影响,不构成本次发行上市的实质性法律

                                  1-1-12
拓荆科技股份有限公司                                            招股说明书


障碍,也不会对发行人的业务经营造成重大不利影响。

     (三)发行人与高级管理人员共同投资行为

     发行人持有拓荆键科(海宁)半导体设备有限公司(以下简称“拓荆键科”)
55%的股权,其他股东海宁君鑫科技合伙企业(有限合伙)(以下简称“海宁君
鑫”)、海宁展阳科技合伙企业(有限合伙)(以下简称“海宁展阳”)、海宁
展博科技合伙企业(有限合伙)(以下简称“海宁展博”)、海宁经开产业园区
开发建设有限公司(以下简称“海宁经开公司”)分别持有拓荆键科 20%、10%、
10%、5%的股权。

     截至本招股说明书签署日,海宁展阳由刘静、张家荣分别持有 98%、2%的
出资份额,海宁展博由孙丽杰、刘春分别持有 98%、2%的出资份额。刘静为发
行人副总经理兼财务负责人并登记为海宁展阳的普通合伙人(执行合伙事务),
孙丽杰为发行人副总经理并登记为海宁展博的普通合伙人(执行合伙事务)。

     海宁展阳、海宁展博两个员工持股平台系为吸引优秀外部人才加入拓荆键科
而设立。由于拓荆键科尚处于初创阶段,人员建制还未齐备,为管理需要,发行
人委派高级管理人员刘静、孙丽杰分别担任前述两个拓荆键科员工持股平台的执
行事务合伙人,负责日常管理工作,该安排为过渡性安排。根据拓荆键科全体股
东于 2020 年 9 月签署的拓荆键科《设立协议》、拓荆键科公司章程以及海宁展
阳和海宁展博各自的《合伙协议》、拓荆键科《员工持股管理办法》的规定,刘
静、孙丽杰二人作为拓荆键科员工持股平台普通合伙人,仅承担普通合伙人的管
理职能,不享受合伙企业财产份额所对应的财产权,其登记持有的合伙份额实际
是为拓荆键科未来延揽人才所设的预留权益。待拓荆键科员工中有适当人选时,
刘静、孙丽杰将自海宁展阳、海宁展博退伙,由拓荆键科董事会认可的拓荆键科
员工担任普通合伙人。

     拓荆有限与海宁展阳、海宁展博共同投资设立拓荆键科事项,经过拓荆有限
董事会审议通过;发行人以 1 元/元注册资本的价格认缴拓荆键科注册资本,与
其他股东相同;拓荆有限对拓荆键科出资合法合规、出资价格公允。

     刘静、孙丽杰登记为海宁展阳、海宁展博的合伙人是接受发行人的工作指派,
是一种过渡安排,并不实际享有所持海宁展阳、海宁展博财产份额的权益或其他


                                  1-1-13
拓荆科技股份有限公司                                           招股说明书


经济利益。因此,刘静、孙丽杰不因此违反《公司法》第 148 条规定的高级管理
人员忠实义务。




                                 1-1-14
拓荆科技股份有限公司                                                                                                    招股说明书



                                                           目         录

发行人声明 ................................................................................................................... 1
本次发行概览 ............................................................................................................... 2
重大事项提示 ............................................................................................................... 3
       一、重大风险因素................................................................................................ 3
       二、本次发行相关主体作出的重要承诺............................................................ 7
       三、利润分配政策................................................................................................ 7
       四、财务报告审计截止日后经营情况及主要财务信息.................................... 7
       五、其他重大事项.............................................................................................. 10
目     录.......................................................................................................................... 15
第一节 释义 ............................................................................................................... 20
       一、常用词语...................................................................................................... 20
       二、专业术语...................................................................................................... 22
第二节 概览 ............................................................................................................... 26
       一、发行人及本次发行的中介机构基本情况.................................................. 26
       二、本次发行概况.............................................................................................. 26
       三、主要财务数据和财务指标.......................................................................... 28
       四、发行人的主营业务经营情况...................................................................... 29
       五、发行人技术先进性、模式创新性、研发技术产业化情况以及未来发展战
略.................................................................................................................................. 32
       六、发行人选择的具体上市标准...................................................................... 32
       七、发行人符合科创板定位的说明.................................................................. 33
       八、公司治理特殊安排...................................................................................... 34
       九、募集资金用途.............................................................................................. 34
第三节 本次发行概况 ............................................................................................... 36
       一、本次发行基本情况...................................................................................... 36
       二、本次发行的有关当事人.............................................................................. 37
       三、发行人与中介机构关系.............................................................................. 39
       四、有关本次发行并上市的重要日期.............................................................. 40

                                                               1-1-15
拓荆科技股份有限公司                                                                                                     招股说明书


       五、本次发行的战略配售安排.......................................................................... 40
第四节 风险因素 ....................................................................................................... 45
       一、技术风险...................................................................................................... 45
       二、经营风险...................................................................................................... 45
       三、财务风险...................................................................................................... 46
       四、内控风险...................................................................................................... 49
       五、知识产权争议风险...................................................................................... 49
       六、其他风险...................................................................................................... 50
第五节 发行人基本情况 ........................................................................................... 51
       一、发行人基本情况.......................................................................................... 51
       二、发行人设立情况.......................................................................................... 51
       三、发行人报告期内股本和股东变化情况...................................................... 57
       四、发行人历史沿革中股权代持及股东无形资产出资的情况...................... 59
       五、发行人报告期内重大资产重组情况.......................................................... 60
       六、发行人在其他证券市场的挂牌情况.......................................................... 60
       七、发行人股权结构及重要关联方股权结构.................................................. 61
       八、发行人控股子公司及对发行人有重大影响的参股公司的情况.............. 61
       九、持有发行人 5%以上股份或表决权的主要股东及实际控制人的基本情况
...................................................................................................................................... 63
       十、发行人股本情况.......................................................................................... 74
       十一、发行人董事、监事、高级管理人员及核心技术人员情况.................. 79
       十二、发行人与董事、监事、高级管理人员及核心技术人员签署协议及履行
情况.............................................................................................................................. 89
       十三、发行人董事、监事、高级管理人员及核心技术人员变动情况.......... 90
       十四、董事、监事、高级管理人员及核心技术人员与发行人及其业务相关的
对外投资情况.............................................................................................................. 91
       十五、发行人董事、监事、高级管理人员、核心技术人员及其近亲属持股情
况.................................................................................................................................. 93
       十六、发行人董事、监事、高级管理人员及核心技术人员的薪酬情况...... 94
       十七、发行人已执行的股权激励及其他制度安排和执行情况...................... 96

                                                               1-1-16
拓荆科技股份有限公司                                                                                             招股说明书


       十八、发行人员工情况...................................................................................... 99
第六节 业务与技术 ................................................................................................. 102
       一、发行人主营业务、主要产品的基本情况................................................ 102
       二、发行人所处行业基本情况及其竞争状况................................................ 110
       三、发行人的销售情况和主要客户................................................................ 138
       四、发行人的采购情况和主要供应商............................................................ 148
       五、发行人的核心技术情况............................................................................ 152
       六、对主要业务有重大影响的主要固定资产、无形资产等资源要素情况 164
       七、发行人的境外经营情况............................................................................ 168
第七节 公司治理与独立性 ..................................................................................... 169
       一、股东大会、董事会、监事会、独立董事、董事会秘书制度的建立健全及
运行情况.................................................................................................................... 169
       二、发行人特别表决权股份情况.................................................................... 171
       三、发行人协议控制架构情况........................................................................ 171
       四、报告期内发行人公司治理存在的缺陷及改进情况................................ 172
       五、发行人管理层对内部控制的自我评估意见............................................ 172
       六、注册会计师对发行人内部控制的鉴证意见............................................ 172
       七、发行人及其子公司最近三年违法违规情况............................................ 173
       八、报告期内资金被控股股东、实际控制人及其控制企业占用或者为控股股
东、实际控制人及其控制企业担保的情况............................................................ 173
       九、发行人独立持续经营的能力情况............................................................ 173
       十、发行人同业竞争情况................................................................................ 175
       十一、关联方、关联关系及关联交易............................................................ 175
       十二、报告期内关联交易制度的执行情况及独立董事意见........................ 192
       十三、报告期内关联方的变化情况................................................................ 193
第八节 财务会计信息与管理层分析 ..................................................................... 194
       一、报告期内财务报表.................................................................................... 194
       二、注册会计师审计意见................................................................................ 204
       三、与财务会计信息相关的重大事项的判断标准........................................ 206
       四、财务报表的编制基础................................................................................ 206

                                                           1-1-17
拓荆科技股份有限公司                                                                                                    招股说明书


       五、合并财务报表范围及变化情况................................................................ 207
       六、重要会计政策、会计估计........................................................................ 207
       七、公司的非经常性损益情况........................................................................ 216
       八、公司纳税情况............................................................................................ 217
       九、主要财务指标............................................................................................ 218
       十、对公司未来盈利(经营)能力或财务状况可能产生重要影响的因素分析
.................................................................................................................................... 219
       十一、经营成果分析........................................................................................ 222
       十二、财务状况分析........................................................................................ 257
       十三、偿债能力、流动性、周转能力及持续经营能力分析........................ 282
       十四、报告期重大投资或资本性支出、重大资产业务重组、股权收购合并
.................................................................................................................................... 290
       十五、资产负债表日后事项、或有事项、其他重要事项及重大担保、诉讼等
事项............................................................................................................................ 291
       十六、未来实现盈利的前瞻性分析................................................................ 291
第九节 募集资金运用与未来发展规划 ................................................................. 292
       一、募集资金运用概况.................................................................................... 292
       二、募集资金运用情况.................................................................................... 294
       三、募集资金运用涉及新取得土地或房产的说明........................................ 301
       四、公司未来发展规划.................................................................................... 301
第十节 投资者保护 ................................................................................................. 304
       一、信息披露和投资者关系的安排................................................................ 304
       二、股利分配政策............................................................................................ 304
       三、发行前滚存利润的分配安排.................................................................... 308
       四、股东投票机制建立情况............................................................................ 308
       五、重要承诺.................................................................................................... 309
第十一节 其他重要事项 ......................................................................................... 312
       一、重大合同情况............................................................................................ 312
       二、对外担保情况............................................................................................ 316
       三、重大诉讼或仲裁事项................................................................................ 316

                                                               1-1-18
拓荆科技股份有限公司                                                                                         招股说明书


       四、控股股东、实际控制人重大违法情况.................................................... 317
第十二节 声明 ......................................................................................................... 318
       一、发行人全体董事、监事、高级管理人员声明........................................ 318
       二、发行人主要股东声明................................................................................ 321
       三、保荐人(主承销商)声明........................................................................ 322
       四、联席主承销商声明.................................................................................... 324
       五、发行人律师声明........................................................................................ 325
       六、审计机构声明............................................................................................ 326
       七、资产评估机构声明(一)........................................................................ 327
       七、资产评估机构声明(二)........................................................................ 328
       八、验资机构声明............................................................................................ 329
       九、验资复核机构声明.................................................................................... 330
第十三节 附件 ......................................................................................................... 331
       附表 1:发行人拥有的房屋建筑..................................................................... 332
       附表 2:发行人租赁的房产............................................................................. 332
       附表 3:发行人已获注册商标清单................................................................. 334
       附表 4:发行人已获授权专利清单................................................................. 337
       附表 5:与投资者保护相关的承诺................................................................. 345




                                                         1-1-19
拓荆科技股份有限公司                                                     招股说明书



                              第一节 释义

     在本招股说明书中,除非另有所指,下列词语具有如下特定含义:

一、常用词语

发行人、公司、
                       指   拓荆科技股份有限公司
  拓荆科技
  拓荆有限             指   沈阳拓荆科技有限公司,发行人前身
                            姜谦、吕光泉、刘忆军、凌复华、吴飚、周仁、张先智、
                            张孝勇等 8 名直接持有公司股份的自然人,以及芯鑫和、
姜谦及其一致
                       指   芯鑫全、芯鑫龙、芯鑫成、芯鑫旺、芯鑫盛、芯鑫阳、沈
  行动人
                            阳盛腾、沈阳盛旺、沈阳盛全、沈阳盛龙等 11 个公司员工
                            持股平台,公司股东
                            美国应用材料公司(Applied Materials, Inc.;NASDAQ:
  应用材料             指   AMAT、港交所:4336),是全球最大的半导体设备和服
                            务供应商
                            泛 林 半 导 体 ( Lam Research Corporation ; NASDAQ :
 泛林半导体            指   LRCX),台湾称“科林研发”,或译“拉姆研究”,是
                            全球领先的半导体设备和服务供应商
                            Novellus Systems Inc.,美国知名半导体设备公司,原纳斯
  美国诺发             指   达克上市公司(NASDAQ:NVLS),2012 年 6 月与泛林
                            半导体合并
                            荷兰先晶半导体公司(ASM International),是全球著名的
 先晶半导体            指   半导体设备和服务供应商,阿姆斯特丹泛欧交易所上市公
                            司(股票代码:ASM)
                            台湾积体电路制造股份有限公司,国际领先的晶圆制造代
   台积电              指
                            工大厂
                            Intel Corporation,美国知名半导体公司,是全球最大的个
   英特尔              指
                            人计算机零件和 CPU 制造商
                            Samsung Electronics Corporation,韩国最大的电子工业企
    三星               指
                            业,旗下拥有 CPU、存储器、显示器等众多产业
                            株 式 会 社 日 立 国 际 电 气 ( KOKUSAI ELECTRIC
     KE                指   CORPORATION,简称“KE”),为日本半导体设备制造
                            商,从事内存、代工业务,提供批量处理系统和服务
                            中芯国际集成电路制造有限公司、中芯国际集成电路制造
                            (上海)有限公司、中芯国际集成电路制造(深圳)有限
  中芯国际             指   公司、中芯国际集成电路制造(北京)有限公司、中芯国
                            际集成电路新技术研发(上海)有限公司、中芯北方集成
                            电路制造(北京)有限公司及其同一控制下的关联方
                            华虹半导体(无锡)有限公司、ICRD、上海华力集成电路
  华虹集团             指   制造有限公司及上海华力微电子有限公司及其同一控制下
                            的关联方
                            长鑫存储技术有限公司,总部位于中国合肥的 DRAM IDM
  长鑫存储             指
                            厂商
                            长江存储科技有限责任公司、武汉新芯集成电路制造有限
  长江存储             指
                            公司及其同一控制下的关联方,总部位于中国武汉的闪存

                                    1-1-20
拓荆科技股份有限公司                                                     招股说明书


                            IDM 厂商

 燕东微电子            指   北京燕东微电子股份有限公司,集成电路 IDM 企业

    ICRD               指   Shanghai IC R&D Center,上海集成电路研发中心有限公司
                            沈阳富创精密设备股份有限公司,及其前身沈阳富创精密
  沈阳富创             指
                            设备有限公司
                            北方华创科技集团股份有限公司,深圳证券交易所主板上
  北方华创             指
                            市公司,证券代码:002371.SZ
                            沈阳芯源微电子设备股份有限公司,科创板上市公司,证
   芯源微              指
                            券代码:688037.SH
                            盛美半导体设备(上海)股份有限公司,科创板上市公司,
  盛美上海             指
                            代码:688082.SH
                            华海清科股份有限公司,科创板在审企业,代码:
  华海清科             指
                            A20569.SH
                            Semiconductor Equipment and Materials International,国际
    SEMI               指
                            半导体产业协会
                            Gartner Group 的缩写,全球权威的 IT 研究与顾问咨询公
                            司,成立于 1979 年,总部设在美国康涅狄克州斯坦福。其
   Gartner             指
                            研究范围覆盖全部 IT 产业,就 IT 的研究、发展、评估、
                            应用、市场等领域,发布论证报告及市场调研报告
  Strategy                  一家全球著名的信息技术,通信行业和消费科技市场研究
                       指
Analytics、SA               机构
  本次发行             指   发行人首次公开发行股票并在上海证券交易所科创板上市
保荐人/保荐
机构/主承销           指   招商证券股份有限公司
    商
联席主承销商           指   国开证券股份有限公司
天健会计师/
                       指   天健会计师事务所(特殊普通合伙)
发行人会计师
 发行人律师            指   北京市中伦律师事务所
证监会、中国
                       指   中国证券监督管理委员会
  证监会
上交所、交易
                       指   上海证券交易所
    所
 基金业协会            指   中国证券投资基金业协会

   国务院              指   中华人民共和国国务院

   财政部              指   中华人民共和国财政部

   公司法              指   《中华人民共和国公司法》

   证券法              指   《中华人民共和国证券法》
报告期、报告
期内、报告期           指   2018 年、2019 年、2020 年和 2021 年 1-9 月
    各期
  报告期末             指   2021 年 9 月 30 日


                                     1-1-21
拓荆科技股份有限公司                                                             招股说明书


                                  2018 年 12 月 31 日、2019 年 12 月 31 日、2020 年 12 月 31
报告期各期末             指
                                  日和 2021 年 9 月 30 日
                                  2018 年 12 月 31 日、2019 年 12 月 31 日、2020 年 12 月 31
报告期各年末             指
                                  日,不包括 2021 年 9 月 30 日
报告期各年度             指       2018 年、2019 年、2020 年,不包括 2021 年 1-9 月

  元、万元               指       人民币元、人民币万元

二、专业术语

                       Silicon Wafer,半导体级硅片,通常也叫晶圆,用于集成电路、分立器
    硅片       指      件、传感器等半导体产品制造。按其直径尺寸主要分为 4 英寸、6 英寸、
                       8 英寸、12 英寸等规格
                       在氧化/扩散、光刻、刻蚀、离子注入、薄膜生长、清洗与抛光、金属
    晶圆       指
                       化等特定工艺加工过程中的硅片
晶圆制造、芯           将通过一系列特定的加工工艺,将半导体硅片加工制造成芯片的过程,
               指
  片制造               分为前道晶圆制造和后道封装测试
                       通过一系列特定的加工工艺,在硅片上加工制造半导体器件的生产厂
   晶圆厂      指
                       商,如:台积电、中芯国际等
                       Integrated Device Manufacture,垂直整合制造,指垂直整合制造商独自
    IDM        指
                       完成集成电路设计、晶圆制造、封装测试的全产业链环节
                       泛指在集成电路生产工艺可达到的最小栅极宽度,尺寸越小,表明工艺
工艺节点、制           水平越高,意味着在同样面积的晶圆上,可以制造出更多的芯片,或者
               指
程、关键尺寸           同样晶体管规模的芯片会占用更小的空间,主要节点如 90nm、65nm、
                       40nm、28nm、14nm、7nm、5nm、3nm 等
                       又称电容率或相对电容率,表征材料电性能的一个重要数据,低 k 值代
介电常数、k    指
                       表较低的极性
先进制程、先           当下时点芯片制造最小技术节点,目前国内通常指 28nm/14nm 以下工
               指
  进工艺               艺制程
    机台       指      半导体行业对生产设备的统称
                       验证机台。发行人销售活动中,部分客户要求预先验证发行人生产的机
 Demo 机台     指      台,待工艺验证通过后转为正式销售。Demo 机台通常是新工艺、新机
                       型的首台设备
 Demo 订单     指      针对 Demo 机台签订的验证订单
                       半导体晶圆制造厂在量产产线技术基础上,针对更先进制程,通过对设
  研发产线     指
                       备、材料、工艺更高的技术指标设置,开展实验性晶圆制造的生产线
                       半导体晶圆制造厂将经过研发产线验证的工艺应用于小批量试生产的
   试产线      指
                       生产线
                       半导体晶圆制造厂将经过试产线良率验证的工艺投入大规模商业化量
   量产线      指
                       产的生产线
                       被测试芯片经过全部测试流程后,测试结果为良品的芯片数量占据全部
    良率       指
                       被测试电路数量的比例
  泛半导体     指      除集成电路外包含平板显示、LED、太阳能电池等的半导体行业
                       Complementary Metal Oxide Semiconductor(互补金属氧化物半导体),
 CMOS、                由 N-MOS 和 P-MOS 器件构成的一类芯片,其多晶硅栅极结构有助于
               指
CMOS 工艺              降低器件的阈值电压,从而在低电压下运行,是制造大规模集成电路芯
                       片使用的一种器件结构


                                           1-1-22
拓荆科技股份有限公司                                                             招股说明书


 FinFET、              Fin Field-Effect Transistor,鳍式场效应晶体管,是一种新的互补式金氧
               指
FinFET 工艺            半导体晶体管,可以改善电路控制并减少漏电流,缩短晶体管的栅长
                       晶体管鱼鳍式闸门,FinFET 工艺中,控制晶体管电路连通与断开的新
     Fin       指
                       型 3D 状态闸门
                       芯片制造分为前道和后道工艺,前道主要是光刻、刻蚀、薄膜沉积、清
前道、后道     指
                       洗、离子注入、化学机械平坦等;后道主要有封装、测试等
                       在半导体制造的最后阶段,将一小块材料(如芯片)包裹在支撑外壳中,
    封装       指
                       以防止物理损坏和腐蚀,并允许芯片连接到电路板的工艺
                       处于前沿的封装形式和技术。目前,带有倒装芯片(FC)结构封装、晶
  先进封装     指      圆级封装(WLP)、系统级封装(SiP)、硅通孔技术(TSV)、2.5D
                       封装、3D 封装等均被认为属于先进封装范畴
                       在两片晶圆间通过添加再分布层和导电层使之互通互联的封装。再分布
 2.5D 封装     指      层是指在原本晶圆上沉积一层或多层电介质材料用于隔离,再令原本晶
                       圆上的触点裸露,再淀积新的金属层来实现重新布局布线
                       采用 TSV(Through-siliconvia,硅通孔)技术的封装,又称 TSV 先进封
                       装,目前被认为是半导体行业最先进的技术之一。TSV 可以将芯片堆叠
3D 封装、TSV           起来使三维空间被利用起来。更重要的是,TSV 实现了贯穿整个芯片厚
               指
  先进封装             度的电气连接,更开辟了芯片上下表面之间的最短通路。芯片之间连接
                       的长度变短也意味着更低的功耗和更大的带宽。3D 存储芯片封装也会
                       在将来大量的用到 TSV 封装技术
                       利用光学-化学反应原理将电路图形传递到光掩模上,形成有效图形窗
    光刻       指
                       口或功能图形的工艺技术
                       用化学或物理方法有选择地在硅表面去除不需要的材料的过程,是与光
 刻蚀、Etch    指
                       刻相联系的图形化处理的一种主要工艺,是半导体制造工艺的关键步骤
                       半导体制造中任何在硅片衬底上沉积一层膜的工艺。这层膜可以是导
                       体、绝缘物质或者半导体材料。沉积膜可以是二氧化硅、氮化硅、多晶
  薄膜沉积     指      硅以及金属。薄膜沉积设备在半导体的前段工序 FEOL(制作晶体管等
                       部件)和后段布线工序 BEOL(将在 FEOL 制造的各部件与金属材料连接
                       布线以形成电路)均有多处应用。
                       Chemical Vapor Deposition,化学气相沉积法。是指化学气体或蒸汽在基
                       底表面反应合成涂层或纳米材料的方法,是半导体工业中应用最为广泛
    CVD        指
                       的用来沉积多种材料的技术,包括大范围的绝缘材料,大多数金属材料
                       和金属合金材料
                       Plasma Enhanced Chemical Vapor Deposition,等离子体增强化学气相沉
  PECVD        指
                       积法
    ALD        指      Atomic Layer Deposition,原子层沉积

  PE-ALD       指      Plasma Enhanced Atomic Layer Deposition,等离子体增强原子层沉积

Thermal-ALD    指      Thermal Atomic Layer Deposition,热处理原子层沉积
                       Sub-atmospheric Pressure Chemical Vapor Deposition,次大气压化学气相
  SACVD        指
                       沉积法
                       紫外固化,紫外固化是辐射固化的一种,是利用紫外线 UV 产生辐射聚
  UV Cure      指
                       合、辐射交联等作用,可以有效改善薄膜的物理性能和化学性能
  LPCVD        指      Low Pressure Chemical Vapor Deposition,低压力化学气相沉积

    PVD        指      Physical Vapor Deposition,物理气相沉积
                       薄膜沉积设备的反应腔体中的一个关键部件,其主要作用是对工艺过程
   加热盘      指
                       中支撑晶圆,对晶圆进行加热,并可以提供射频功率的通道


                                            1-1-23
拓荆科技股份有限公司                                                              招股说明书


                       薄膜沉积设备的反应腔体中的一个关键部件,主要作用是在按设计提供
   喷淋头      指
                       反应气体和其他气体,同时可以作为射频回路中的上极板
                       PECVD 设备的反应腔体中的一个关键部件,通过提供稳定的射频输出
射频、射频系
               指      源、快速的匹配系统及低损耗的射频回路来激发工艺气体成为高活性、
    统
                       高能量的等离子体
                       Equipment front-end module,一种晶圆传输系统,可用于制造设备与晶
   EFEM        指
                       圆产线的晶圆传输模块
    介质       指      电介质,亦称绝缘体,是一种不导电的物质
通用介质薄
               指      在集成电路制造过程中使用的 SiO2、SiN、SiON 等介质薄膜
    膜
    SiO2       指      硅与氧的化合物二氧化硅,可以作为一种电介质

   TEOS        指      Tetraethyl orthosilicate,正硅酸乙酯,可作为 SiO2 薄膜的反应源

    SAF        指      极高深宽比氧化硅薄膜工艺

   BPSG        指      Boro-phospho-silicate Glass,即掺杂了硼和磷的二氧化硅

    SiN        指      氮化硅,可以用作芯片制造中的阻挡层、钝化层
                       Silicon Oxynitride,即氮氧化硅,主要用于光刻过程中的消光作用,提
SiON、DARC     指
                       高曝光效果
                       Phospho-silicate Glass,即掺杂磷的二氧化硅,可用于金属布线层间的绝
    PSG        指
                       缘层、回流介质层和表面钝化保护层
先进介质薄
                       在集成电路制造过程中使用的 LokⅠ、LokⅡ、ADCⅠ、ADCⅡ、ACHM、
膜、先进材料   指
                       α-Si 等介质薄膜
    工艺
   ACHM        指      非晶碳硬掩膜,该薄膜能够提供良好的刻蚀选择性
                       掺碳氧化硅薄膜,是低介电常数薄膜,主要应用于集成电路芯片后段互
   LokⅠ       指      连层间介导层,通过超低介电常数,降低电路的漏电电流,降低导线之
                       间的电容效应,提高芯片性能
                       超低介电常数薄膜,为 LokⅠ的下一代新型介质薄膜,通过相对于 Lok
   LokⅡ       指      Ⅰ更低的超低介电常数,降低电路的漏电电流,降低导线之间的电容效
                       应,提高芯片性能
                       Nitrogen Doped Carbide,先进掺氮碳化硅薄膜,主要应用于扩散阻挡层
   ADCⅠ       指      以及刻蚀阻挡层,由于较低的介电常数,可以降低了导线间的电容效率,
                       提升了芯片整体的传输性能
                       Oxygen-doped Silicon Carbide,先进掺氧碳化硅薄膜,下一代低介电阻
   ADCⅡ       指
                       挡层薄膜
                       Amorphous Silicon,非晶硅,主要应用在硬掩膜以实现小尺寸高深宽比
    α-Si      指
                       的图形传递
ONON 叠层、
                       氧化物-氮化物-氧化物-氮化物交替的膜堆叠件,沉积 SiO2、SiN 制作的
NO stack、No 指
                       方法中的一个步骤,主要用于 3D NAND 闪存芯片制造
 stack 工艺
Thick TEOS     指      微米级 TEOS 薄膜
                       Self-Aligned Double Patterning,自对准双重成像技术。可用于 FinFET
   SADP        指
                       工艺中 Fin 的制造
浅沟槽隔离、           Shallow Trench Isolation,通常用于 0.25um 以下工艺,通过图形化工艺
             指
    STI                在晶体管结构之间形成槽填充绝缘层,以达到晶圆表面器件之间隔离


                                            1-1-24
拓荆科技股份有限公司                                                                招股说明书


BSI、BSI 工            Back Side Illumination,背照式图像传感器,一种 CMOS 图像传感器制
               指
     艺                造工艺
                       前段(FEOL)指对芯片有源部分的制造工序,即位于芯片硅衬底上的
前段、FEOL     指
                       晶体管
                       后段(BEOL)指在晶体管上部建立若干层的导电金属线,不同层金属
后段、BEOL     指
                       线之间由柱状金属相连的制造
层间介质、
             指        Inter Layer Dielectric,是在晶体管与第一层金属之间形成的介质材料层
    ILD
金属绝缘层、
             指        Inter Metal Dielectric,是金属导线之间的介电材料层
    IMD
   钝化层      指      晶圆表面起到保护功能的一层薄膜
                       先进制程晶圆制造光刻工艺的中间层,利用光刻胶将图形转移到硬掩
   硬掩模      指
                       模,再通过硬掩模将图形转移到待刻蚀的底层薄膜材料上
                       在多层介质膜刻蚀时,利用刻蚀速率的差异进而对刻蚀具有选择性,起
刻蚀阻挡层     指
                       到了阻挡刻蚀继续进行以及刻蚀工艺终止的作用
金属前绝缘             Pre-Metal Dielectric,是沉积金属前的介质层,其界于栅极与第一层金属
               指
 层、PMD               之间,起到绝缘介质的作用
NFDARC、               Nitrogen Free Dielectric anti-reflective coating,即无氮元素的介电抗反射
               指
   SiOC                涂层,在光刻机曝光时起到抗反射的作用,从而实现理想图形的刻蚀

     本招股说明书除特别说明外,所有数值保留 2 位或 4 位小数,若出现总数与
各分项数值之和尾数不符的情况,均为四舍五入原因造成。




                                             1-1-25
拓荆科技股份有限公司                                                                招股说明书



                                       第二节 概览

     本概览仅针对招股说明书全文做扼要提示。投资者作出投资决策前,应认真
阅读招股说明书全文。

一、发行人及本次发行的中介机构基本情况

     (一)发行人基本情况

发行人名称     拓荆科技股份有限公司                        成立日期        2010 年 4 月 28 日

 注册资本      9,485.8997 万元                            法定代表人       吕光泉
                                                           主要生产        辽宁省沈阳市浑南
 注册地址      辽宁省沈阳市浑南区水家 900 号
                                                           经营地址        区水家 900 号
 控股股东      无                                         实际控制人       无
                                                        在其他交易场所
 行业分类      专用设备制造业(C35)                    (申请)挂牌或     无
                                                          上市的情况

     (二)本次发行的有关中介机构

保荐人(主承销商) 招商证券股份有限公司               联席主承销商    国开证券股份有限公司
                                                                      天健会计师事务所(特殊
   发行人律师          北京市中伦律师事务所             审计机构
                                                                      普通合伙)
                       北京中企华资产评估有限
    评估机构           责任公司、沃克森(北京)
                       国际资产评估有限公司

二、本次发行概况

     (一)本次发行的基本情况

       股票种类             人民币普通股(A 股)

       每股面值             人民币 1.00 元

       发行股数             3,161.9800 万股

  其中:发行新股数量        3,161.9800 万股           占发行后总股本比例     25%

股东公开发售股份数量        无                        占发行后总股本比例     无

     发行后总股本           12,647.8797 万股

     每股发行价格           71.88 元
                            由发行人与主承销商自行协商确定,包括但不限于通过向询价对
       定价方式
                            象询价并结合当时市场情况确定发行价格

                                             1-1-26
拓荆科技股份有限公司                                                     招股说明书



      发行市盈率       不适用
                                                                   -0.60 元(按 2020
                                                                   年度经审计的扣
                       12.45 元(按 2021
                                                                   除非经常性损益
                       年 9 月 30 日经审计
                                                                   前后孰低的归属
   发行前每股净资产    的归属于母公司所       发行前每股收益
                                                                   于母公司股东的
                       有者权益除以本次
                                                                   净利润除以本次
                       发行前总股本计算)
                                                                   发行前总股本计
                                                                   算)
                                                                   -0.45 元(按 2020
                       26.16 元(按 2021
                                                                   年度经审计的扣
                       年 9 月 30 日经审计
                                                                   除非经常性损益
                       的归属于母公司所
                                                                   前后孰低的归属
   发行后每股净资产    有者权益加上本次       发行后每股收益
                                                                   于母公司股东的
                       发行募集资金净额
                                                                   净利润除以本次
                       之和除以本次发行
                                                                   发行后总股本计
                       后总股本计算)
                                                                   算)
      发行市净率       2.75 倍(按每股发行价格除以本次发行后每股净资产计算)
                       本次发行采用向战略投资者定向配售、网下向符合条件的投资者
       发行方式        询价配售和网上向持有上海市场非限售 A 股股份和非限售存托
                       凭证市值的社会公众投资者定价发行相结合的方式进行
                       符合资格的战略投资者、询价对象以及已开立上海证券交易所股
       发行对象        票账户并开通科创板交易的境内自然人、法人等科创板市场投资
                       者,但法律、法规及上海证券交易所业务规则等禁止参与者除外
       承销方式        余额包销
  拟公开发售股份股东
                       无
        名称
  发行费用的分摊原则   无

     募集资金总额      227,283.12 万元

     募集资金净额      212,759.73 万元
                       高端半导体设备扩产项目、先进半导体设备技术研发与改进项
   募集资金投资项目
                       目、ALD 设备研发和产业化项目、补充流动资金
                       本次发行费用明细如下:
                       (1)保荐及承销费用:保荐费为 500.00 万元,承销费为 11,636.54
                       万元;
                       (2)审计及验资费用:1,188.68 万元;
                       (3)律师费用:613.21 万元;
                       (4)用于本次发行的信息披露费用:518.87 万元;
     发行费用概算      (5)发行手续费用:66.10 万元。
                       (注:1、前次披露的招股意向书中,发行手续费用为 13.86 万元,
                       差异原因系本次发行的 CA 证书费用减免、摇号公证场所变化导
                       致摇号公证费调整以及印花税的确定。除前述调整外,发行费用
                       不存在其他调整情况;本次发行各项费用均为不包含增值税的金
                       额,如发行费用合计数与募集资金总额和募集资金净额之差额存
                       在差异,为四舍五入所致。)

     (二)本次发行上市的重要日期

                                     1-1-27
拓荆科技股份有限公司                                                                  招股说明书



  序号                         内容                                        日期

    1                  刊登初步询价公告日期                          2022 年 3 月 29 日

    2                      初步询价日期                              2022 年 4 月 1 日

    3                   刊登发行公告日期                             2022 年 4 月 7 日

    4                        申购日期                                2022 年 4 月 8 日

    5                        缴款日期                                2022 年 4 月 12 日
                                                           本次股票发行结束后将尽快申请在
    6                      股票上市日期
                                                             上海证券交易所科创板上市

三、主要财务数据和财务指标

        公司聘请天健会计师对本次申报的财务报表及财务报表附注进行了审计,本
公司报告期主要财务数据和财务指标如下:

                     2021.9.30/         2020.12.31/         2019.12.31/           2018.12.31/
        项目
                   2021 年 1-9 月        2020 年度           2019 年度             2018 年度
   资产总额
                         234,529.89        181,406.91          107,411.69             92,644.56
   (万元)
 归属于母公司
 所有者权益              118,090.11        112,220.97           81,426.96             69,415.35
   (万元)
 资产负债率
                            48.25%                36.41%             24.19%                25.07%
 (母公司)
   营业收入
                          37,389.57         43,562.77           25,125.15              7,064.40
   (万元)
 净利润(万元)            5,704.87         -1,169.99           -1,936.64            -10,322.29
 归属于母公司
 所有者净利润              5,796.38         -1,148.90           -1,936.64            -10,322.29
   (万元)
 扣除非经常损
 益后归属于母
                          -2,305.21         -5,711.62           -6,246.63            -14,993.05
 公司所有者净
 利润(万元)
 基本每股收益
                               0.61           /                  /                     /
     (元)
 稀释每股收益
                               0.61           /                  /                     /
     (元)
 加权平均净资
                             5.03%                -1.11%             -2.83%              -13.86%
   产收益率
 经营活动产生
 的现金流量净             -7,597.27         30,891.27           -2,175.61            -12,801.52
 额(万元)
   现金分红
                                -                    -                  -                    -
   (万元)


                                           1-1-28
拓荆科技股份有限公司                                                  招股说明书


                     2021.9.30/     2020.12.31/    2019.12.31/    2018.12.31/
      项目
                   2021 年 1-9 月    2020 年度      2019 年度      2018 年度
 研发投入占营
                           34.65%         28.19%         29.58%        152.84%
 业收入的比例

四、发行人的主营业务经营情况

     (一)主营业务

     拓荆科技主要从事高端半导体专用设备的研发、生产、销售和技术服务。公
司聚焦的半导体薄膜沉积设备与光刻机、刻蚀机共同构成芯片制造三大主设备。
公司主要产品包括等离子体增强化学气相沉积(PECVD)设备、原子层沉积
(ALD)设备和次常压化学气相沉积(SACVD)设备三个产品系列,已广泛应
用于国内晶圆厂 14nm 及以上制程集成电路制造产线,并已展开 10nm 及以下制
程产品验证测试。

     拓荆科技是国内唯一一家产业化应用的集成电路 PECVD、SACVD 设备厂
商,以前后两任董事长为核心的五名国家级海外高层次专家组建起一支国际化的
技术团队,形成了三大类半导体薄膜设备产品系列,先后四次承担国家重大科技
专项/课题,被中国半导体行业协会评为 2016 年度、2017 年度、2019 年度“中
国半导体设备五强企业”。

     截至 2022 年 3 月 8 日,公司已获授权专利 174 项(境内 153 项,其他国家
或地区 21 项),其中发明专利 98 项(境内 77 项,其他国家或地区 21 项)。公
司获得 2017 年辽宁省政府颁发的“辽宁省科学技术进步一等奖”,中国电子专
用设备工业协会 2016 年度“中国半导体创新产品”认证,2019 年国家知识产权
局颁发的“国家知识产权示范企业”称号,2021 年中国集成电路创新联盟颁发
的“技术创新奖”。

     (二)主要经营模式

     1、盈利模式

     公司主要从事高端半导体专用设备的研发、生产、销售及技术服务,通过向
下游集成电路制造企业、其他泛半导体行业企业和科研院所等客户,销售
PECVD、ALD 和 SACVD 设备并提供备品备件及技术服务来实现收入和利润。
报告期内,公司主营业务收入来源于半导体设备的销售,其他业务收入主要来源


                                       1-1-29
拓荆科技股份有限公司                                           招股说明书


于设备有关的备品备件销售及技术服务。

     2、研发模式

     公司主要采用自主研发的模式。公司建成了一支国际化、专业化的半导体薄
膜沉积设备研发技术团队。公司的研发技术团队结构合理,分工明确,专业知识
储备深厚,产线验证经验丰富,是公司自主研发能力的基石。

     公司根据客户需求、半导体专用设备技术动态和国家重大科技专项目标为导
向,研发设计新产品、新工艺,制造研发机台,调试性能参数,在通过公司测试
之后,送至客户实际生产环境中进行产业化验证,通过验证后产品正式定型。此
外,公司会根据客户不同的工艺应用需求,持续丰富、完善量产产品功能。

     3、采购模式

     公司采购主要分为标准件采购和非标件采购。对于标准件采购,公司面向市
场供应商进行直接采购。非标件主要为公司研发生产中,根据特定技术需求,自
行设计的零部件。对于非标件采购,公司主要通过向供应商提供设计图纸、明确
参数要求,由供应商自行采购原材料进行加工并完成定制;针对特定零部件,公
司存在提供图纸及参数,并向供应商提供原材料,委托供应商完成定制化加工的
情形。

     4、生产模式

     公司的产品主要根据客户的差异化需求和采购意向,进行定制化设计及生产
制造。公司主要采用库存式生产和订单式生产相结合的生产模式。库存式生产,
指公司尚未获取正式订单便开始的生产活动,包括根据 Demo 订单或较明确的客
户采购意向启动的生产活动。订单式生产,指公司与客户签署正式订单后进行的
生产活动。

     库存式生产,适用于公司的 Demo 机台和部分销售机台(非 Demo 机台)。
对于 Demo 机台,通常在公司与客户充分沟通产品型号、参数、配置等信息,便
开始组织生产,完工后以 Demo 订单的形式发往客户端进行验证。一般在 Demo
机台获得客户端验证通过后,客户才会下达正式订单进行采购。对于部分销售机
台,公司在与客户接洽过程中,若判断客户有较明确的采购预期,便安排销售机
台的通用模块的生产,如薄膜沉积设备的平台部分,以缩短交货时间。在生产通

                                 1-1-30
拓荆科技股份有限公司                                            招股说明书


用模块的过程中,公司继续与客户沟通相关的业务细节。部分销售机台,也可能
在生产完成后,公司才获取相应的正式订单。

     订单式生产,适用于公司的大部分的销售机台。对于该类的销售,公司在与
客户沟通完所有相关业务条款后,便与客户签署正式订单。此时,公司可能已完
成部分或全部模块的生产,或尚未开始生产活动。若尚有模块未完成生产,公司
便根据订单中约定的具体参数、定制化配置等条款,完成剩余模块的生产,该部
分生产活动即为订单式生产。最后,公司完成总装及测试,发运至客户指定地点。

     5、销售和服务模式

     公司以直销为主,结合少量经销的模式开展销售活动。

     直销模式下,公司通过与潜在客户商务谈判、招投标、委托第三方推广等方
式获取客户订单。公司采用自上而下的市场开拓策略,首先从行业知名企业客户
切入市场,取得知名企业对公司技术和产品的认可,树立公司的市场声誉和品牌
形象,开拓更多半导体行业客户。经过多年的努力,公司已与中芯国际、华虹集
团、长江存储等国内半导体行业企业形成了较为稳定的合作关系。

     公司具体的销售流程一般包括市场调查与推介、获取客户需求及公司内部讨
论、产品报价、投标操作与管理(如适用)、销售洽谈、合同评审、销售订单(或
Demo 订单)签订与执行、产品安装调试、合同回款、客户验收及售后服务等步
骤。公司的设备发运至客户指定地点后,需要在客户的生产线上进行安装调试,
主要流程包括:设备初始设置和功能性动力连接、基本硬件测试、基本工艺验证
测试、批量产品工艺验证测试(稳定性测试)。通常客户在完成以上测试后,对
设备进行验收,公司在客户验收完成后确认收入。

     经销模式下,公司将产品出售给经销商后,由经销商自主定价后销售给下游
终端客户;公司向终端客户提供技术支持和售后服务。公司在终端客户对设备完
成验收后确认收入。经销模式下,终端客户的验收流程与直销模式的客户验收流
程无明显差异。

     (三)竞争地位

     拓荆科技是国内半导体设备行业重要的领军企业之一,三次(2016 年、2017
年、2019 年)获得中国半导体行业协会颁发的“中国半导体设备五强企业”称

                                  1-1-31
拓荆科技股份有限公司                                              招股说明书


号。公司专注的薄膜沉积设备领域系半导体晶圆制造三大核心设备种类之一。公
司是国内唯一一家产业化应用的集成电路 PECVD、SACVD 设备厂商,发行人
产品已成功应用于中芯国际、华虹集团、长江存储、厦门联芯、燕东微电子等行
业领先集成电路制造企业产线,产品技术参数已达到国际同类设备水平。

五、发行人技术先进性、模式创新性、研发技术产业化情况以及未来
发展战略

     公司自成立以来,始终专注于半导体薄膜沉积设备的研发。公司先后承担
“90-65nm 等离子体增强化学气相沉积设备研发与应用”“1x nm 3D NAND
PECVD 研发及产业化”等四项国家重大科技专项/课题。基于国家重大科技专项
研究成果和工艺经验,公司面向集成电路各类芯片晶圆制造大规模产线的现实需
求,不断攻克技术难点、提升设备机械性能、优化薄膜工艺性能,在新产品新工
艺型号研发、生产工艺改进、技术节点升级等方面形成了一系列的技术成果,解
决了半导体制造中纳米级厚度薄膜均匀一致性、薄膜表面颗粒数量少、快速成膜、
设备产能稳定高速等关键难题,在保证实现薄膜工艺性能的同时,提升客户产线
的产能,减少客户产线的生产成本。

     公司的产品已适配国内最先进的 28/14nm 逻辑芯片、19/17nm DRAM 芯片和
64/128 层 3D NAND FLASH 晶圆制造产线,2.5D、3D 先进封装及其他泛半导体
领域。

     报告期内,公司客户需求及数量快速增长,销售收入分别为 7,064.40 万元、
25,125.15 万元、43,562.77 万元和 37,389.57 万元,亦呈现快速增长的趋势。客户
数量和销售收入的快速增长说明公司的科技成果成功转化为市场产品,得到下游
客户认可。

     公司未来将继续致力于高端半导体设备的研发生产,扩大现有设备市场占有
率,提高公司设备的技术先进性,丰富公司设备种类,拓展技术应用领域,并开
拓中国台湾市场。

六、发行人选择的具体上市标准

     发行人选择的上市标准为《上海证券交易所科创板股票发行上市审核规则》


                                   1-1-32
拓荆科技股份有限公司                                                                   招股说明书


第三章第二十二条第(四)项:预计市值不低于人民币 30 亿元,且最近一年营
业收入不低于人民币 3 亿元。

七、发行人符合科创板定位的说明

     (一)公司符合行业领域要求

               √新一代信息技术
                                        根据证监会《上市公司行业分类指引》(2012 年修订),
               □高端装备               公司隶属于专用设备制造业(行业代码:C35)。根据
                                        《国民经济行业分类》(GB/T4754-2017),公司隶属
               □新材料                 于专用设备制造业下的半导体器件专用设备制造(行业
 公司所属      □新能源                 代码:C3562)。
 行业领域                               根据国家统计局颁布的《战略性新兴产业分类(2018)》,
               □节能环保               公司从事的半导体器件专用设备制造为战略性新兴产
                                        业,被纳入战略性新兴产业“1 新一代信息技术产业
               □生物医药               -1.2 电子核心产业-1.2.1 新型电子元器件及设备制造-
               □符合科创板定位的       半导体器件专用设备制造”。
               其他领域

     (二)公司符合科创属性要求

     1、科创属性评价标准一

      科创属性评价标准一                是否符合                       指标情况
                                                       公司最近三年累计研发投入金额为
最近三年累计研发投入占最近三
                                                       30,507.37 万元,大于 6,000.00 万元;公司
年累计营业收入比例≥5%,或最
                                        √是 □否      近三年累计实现营业收入 75,752.32 万元,
近三年累计研发投入金额≥6,000
                                                       累计研发投入占累计营业收入的比例为
万元
                                                       40.27%,大于 5%。
                                                       截至 2021 年 9 月 30 日,发行人研发人员
研发人员占当年员工总数的比例                           189 名,员工总人数 429 名,研发人员占
                                        √是 □否
不低于 10%                                             当 年 员 工 总 数 的 比 例 为 44.06%, 大 于
                                                       10%。
                                                       截至 2022 年 3 月 8 日,公司已获与主营业
形 成 主 营 业 务收 入 的 发明 专 利
                                        √是 □否      务收入相关的境内境外发明专利 98 项,大
(含国防专利)≥5 项
                                                       于 5 项。
                                                       2018 至 2020 年,公司营业收入分别为
最 近 三 年 营 业收 入 复 合增 长 率                   7,064.40 万元、25,125.15 万元、43,562.77
≥20%,或最近一年营业收入金额           √是 □否      万元,营业收入的复合增长率为 148.32%,
≥3 亿                                                 大于 20%;公司近一年营业收入金额为
                                                       4.36 亿元,大于 3 亿元。

     2、科创属性评价标准二

      科创属性评价标准二                是否符合                       主要依据
独立或者牵头承担与主营业务和                           公司创立以来始终专注于半导体薄膜沉积
                                       √是 □否
核心技术相关的“国家重大科技                           设备领域,先后四次承担国家重大科技专

                                              1-1-33
拓荆科技股份有限公司                                                                   招股说明书


专项”项目                                             项/课题。

                                                       公司的 PECVD 系列产品已经在中芯国际、
                                                       华虹集团、长江存储等国内集成电路生产
依 靠 核 心 技 术形 成 的 主要 产 品
                                                       制造企业实现产业应用,国内唯一一家产
(服务),属于国家鼓励、支持
                                                       业化应用的集成电路 PECVD、SACVD 设
和推动的关键设备、关键产品、           √是 □否
                                                       备厂商,突破了欧美及日本企业对同类产
关键零部件、关键材料等,并实
                                                       品的长期垄断,实现了集成电路领域核心
现了进口替代
                                                       设备的自主可控,增强了集成电路产业供
                                                       应链的自主可控能力。
形成核心技术和主营业务收入相                           截至 2022 年 3 月 8 日,公司已获与主营业
关的发明专利(含国防专利)合           √是 □否       务收入相关的境内境外发明专利共计 98
计 50 项以上                                           项。

     半导体的应用涉及计算、通讯、工业控制等多个领域,半导体行业越来越成
为经济发展的基础行业,保障国家安全的战略性、基础性和先导性产业,大国竞
争的焦点。半导体专用设备业是半导体行业的重要支撑,属于国家高度重视和重
点支持的战略新兴行业。公司聚焦的薄膜沉积设备与光刻设备、刻蚀设备共同构
成晶圆制造三大核心设备,决定了芯片制造工艺的先进程度。公司符合中国证监
会《科创属性评价指引(试行)》制定的科创属性评价标准,符合科创板定位。

八、公司治理特殊安排

     截至本招股说明书签署日,公司不存在公司治理特殊安排等重要事项。

九、募集资金用途

     本次发行并上市的募集资金扣除发行费用后,将投资于以下项目:

                                                                                      单位:万元
                 项目名称                          投资总额     利用募集资金投资额        占比

高端半导体设备扩产项目                               7,986.46              7,986.46        7.98%

先进半导体设备的技术研发与改进项目                  39,948.34             39,948.34       39.94%

ALD 设备研发与产业化项目                            27,094.85             27,094.85       27.09%

补充流动资金                                        25,000.00             25,000.00       24.99%

                   合计                            100,029.65            100,029.65     100.00%

     若本次实际募集资金(扣除发行费用后)少于上述投资项目所需资金,公司
将通过自筹资金的方式解决;若本次实际募集资金(扣除发行费用后)超过上述
投资项目所需,则剩余部分将根据公司实际经营需要,并根据中国证监会及上海
证券交易所的有关规定用于公司主营业务的发展。募集资金投资项目在本次发行

                                              1-1-34
拓荆科技股份有限公司                                          招股说明书


募集资金到位前必须进行先期投入的,公司将以自筹资金先期进行投入,待本次
发行募集资金到位后,公司将以募集资金置换、偿还先期自筹资金投入。




                                1-1-35
拓荆科技股份有限公司                                                     招股说明书



                          第三节 本次发行概况


一、本次发行基本情况

        股票种类         人民币普通股(A 股)

        每股面值         人民币 1.00 元
                         本次公开发行股票采用公开发行新股方式,公开发行 3,161.9800
 发行股数及占发行后总
                         万股,占发行后总股本的 25.00%。本次发行中,公司股东不进
     股本的比例
                         行公开发售股份。
     每股发行价格        71.88 元
                         2022 年 1 月 4 日,公司召开第一届董事会第七次会议,审议通
                         过了《关于公司高级管理人员及核心员工参与公司首次公开发行
                         股票并在科创板上市战略配售的议案》,同意公司高级管理人员
                         与核心员工设立专项资产管理计划并参与公司本次发行战略配
                         售。2022 年 3 月 18 日,经发行人董事长授权签署了《关于调整
发行人高级管理人员、员
                         战略配售计划参与人员认购金额及比例的通知》。前述资管计划
  工参与战略配售情况
                         参与战略配售数量为 308.0974 万股,占本次公开发行规模的
                         9.74%,参与认购规模为人民币 22,256.7713 万元(含新股配售
                         经纪佣金)。招商资管拓荆科技员工参与科创板战略配售集合资
                         产管理计划承诺获得本次配售的股票持有期限为自发行人首次
                         公开发行并上市之日起 12 个月。
                         保荐机构安排子公司招商证券投资有限公司参与本次发行战略
                         配售,最终跟投比例为本次公开发行股份的 3%,即 94.8594 万
 保荐人相关子公司参与
                         股,参与认购规模为人民币 6,818.4937 万元。招商证券投资有限
     战略配售情况
                         公司本次跟投获配股票的限售期为 24 个月,限售期自本次公开
                         发行的股票在上交所上市之日起开始计算。
      发行市盈率         不适用

      预测净利润         不适用
                         -0.45 元(按 2020 年度经审计的扣除非经常性损益前后孰低的归
    发行后每股收益
                         属于母公司股东的净利润除以发行后总股本计算)
                         12.45 元(按 2021 年 9 月 30 日经审计的归属于母公司所有者权
   发行前每股净资产
                         益除以本次发行前总股本计算)
                         26.16 元(按 2021 年 9 月 30 日经审计的归属于母公司所有者权
   发行后每股净资产
                         益加上本次发行募集资金净额之和除以本次发行后总股本计算)
                         5.77 倍(按每股发行价格除以本次发行前每股净资产计算)
      发行市净率
                         2.75 倍(按每股发行价格除以本次发行后每股净资产计算)
                         本次发行采用向战略投资者定向配售、网下向符合条件的投资者
        发行方式         询价配售和网上向持有上海市场非限售 A 股股份和非限售存托
                         凭证市值的社会公众投资者定价发行相结合的方式进行。
                         符合资格的战略投资者、询价对象以及已开立上海证券交易所股
        发行对象         票账户并开通科创板交易的境内自然人、法人等科创板市场投资
                         者,但法律、法规及上海证券交易所业务规则等禁止参与者除外
        承销方式         余额包销

                                          1-1-36
拓荆科技股份有限公司                                                            招股说明书


                             本次发行费用明细如下:
                             (1)保荐及承销费用:保荐费为 500.00 万元,承销费为 11,636.54
                             万元;
                             (2)审计及验资费用:1,188.68 万元;
                             (3)律师费用:613.21 万元;
                             (4)用于本次发行的信息披露费用:518.87 万元;
     发行费用概算            (5)发行手续费用:66.10 万元。
                             (注:1、前次披露的招股意向书中,发行手续费用为 13.86 万
                             元,差异原因系本次发行的 CA 证书费用减免、摇号公证场所变
                             化导致摇号公证费调整以及印花税的确定。除前述调整外,发行
                             费用不存在其他调整情况;本次发行各项费用均为不包含增值税
                             的金额,如发行费用合计数与募集资金总额和募集资金净额之差
                             额存在差异,为四舍五入所致。)
 拟公开发售股份的股东
                             无
         名称

二、本次发行的有关当事人

     (一)发行人

 名称                  拓荆科技股份有限公司

 住所                  辽宁省沈阳市浑南区水家 900 号

 法定代表人            吕光泉

 联系人                赵   曦

 联系电话              024-24188000

 传真                  024-24188000-8080

     (二)保荐机构(主承销商)

 名称                  招商证券股份有限公司

 住所                  深圳市福田区福田街道福华一路 111 号

 法定代表人            霍   达

 保荐代表人            刘宪广、张 贺

 项目协办人            胡明勇

 项目经办人            包晓磊、戴于淳、杨 凌、夏 枫、赵在华、成千慧

 联系电话              0755-82943666

 传真                  0755-82943121

     (三)联席主承销商

 名称                  国开证券股份有限公司

                                           1-1-37
拓荆科技股份有限公司                                                         招股说明书



 住所                  北京市西城区阜成门外大街 29 号 1-9 层

 法定代表人            孙孝坤

 项目经办人            周涛、马磊、王相栋

 联系电话              010-88300772

 传真                  010-88300793

     (四)发行人律师

 名称                  北京市中伦律师事务所

 住所                  北京市朝阳区金和东路 20 号院正大中心 3 号楼南塔 22-31 层

 负责人                张学兵

 经办律师              都   伟、刘 佳、姚腾越

 联系电话              010-59572288

 传真                  010-65681022

     (五)审计机构、验资机构、验资复核机构

 名称                   天健会计师事务所(特殊普通合伙)

 住所                   杭州市江干区钱江路 1366 号华润大厦 B 座

 负责人                 王国海

 签字注册会计师         陈焱鑫、徐 银

 联系电话               0571-88216888

 传真                   0571-88216999

     (六)资产评估机构

 名称                   北京中企华资产评估有限责任公司

 住所                   北京市东城区青龙胡同 35 号

 负责人                 权忠光

 经办评估师             王晨煜、王少岩

 联系电话               010-65881818

 传真                   010-65882651

     (七)资产评估机构



                                            1-1-38
拓荆科技股份有限公司                                                    招股说明书



 名称                  沃克森(北京)国际资产评估有限公司

 住所                  北京市海淀区车公庄西路 19 号 37 幢三层 305-306

 负责人                徐伟建

 经办评估师            王海鹏 陶二朋

 联系电话              010-52596085

 传真                  010-88019300

     (八)申请上市的证券交易所

 名称                  上海证券交易所

 地址                  上海市浦东新区杨高南路 388 号

 联系电话              021-68808888

 传真                  021-68804868

     (九)股票登记机构

 名称                  中国证券登记结算有限责任公司上海分公司

 地址                  上海市浦东新区杨高南路 188 号

 联系电话              021-58708888

 传真                  021-58899400

     (十)主承销商收款银行

 名称                  招商银行深圳分行深纺大厦支行

 住所                  深圳市福田区华强北路 3 号深纺大厦 AB 座首层

 账号                  819589015710001

 户名                  招商证券股份有限公司

三、发行人与中介机构关系

     截至 2021 年 11 月 29 日,招商证券的衍生品业务持有发行人股东中微公司
(688012.SH)160 股 A 股股份,占其总股本约 0.000026%;间接持有发行人股
份约为 0.0000029%。

     截至本招股说明书签署日,联席主承销商国开证券的控股股东国家开发银行
之全资子公司国开金融有限责任公司持有发行人股东国家集成电路基金 22.29%


                                         1-1-39
拓荆科技股份有限公司                                                       招股说明书


股份并持有国家集成电路基金的管理人华芯投资管理有限责任公司 45.00%股
份,国家集成电路基金持有发行人 26.48%股份。

        除上述情况外,本次发行前,发行人与本次发行有关的中介机构及其负责人、
高级管理人员、经办人员之间不存在直接或间接的股权关系或其他权益关系。

四、有关本次发行并上市的重要日期

  序号                        内容                               日期

    1                  刊登初步询价公告日期                2022 年 3 月 29 日

    2                     初步询价日期                     2022 年 4 月 1 日

    3                   刊登发行公告日期                   2022 年 4 月 7 日

    4                       申购日期                       2022 年 4 月 8 日

    5                       缴款日期                       2022 年 4 月 12 日
                                                    本次股票发行结束后将尽快申请在
    6                     股票上市日期
                                                      上海证券交易所科创板上市

五、本次发行的战略配售安排

        (一)本次战略配售的总体安排

        本次发行中,对战略配售投资者的选择在考虑投资者资质以及市场情况后综
合确定,包括以下两类:

        ①招商证券投资有限公司(参与跟投的保荐机构相关子公司);

        ②招商资管拓荆员工参与科创板战略配售集合资产管理计划(发行人的高级
管理人员与核心员工参与本次战略配售设立的专项资产管理计划)。

        发行人已与保荐机构相关子公司跟投主体招商证券投资有限公司,以及招商
证券资产管理有限公司(代表“招商资管拓荆员工参与科创板战略配售集合资产
管理计划”)签署配售协议。

        本次发行初始战略配售发行数量为 474.2970 万股,占本次发行数量的
15.00%,本次发行最终战略配售发行数量为 402.9568 万股,占本次发行数量的
12.74%,初始战略配售股数与最终战略配售股数的差额 71.3402 万股回拨至网下
发行。



                                           1-1-40
拓荆科技股份有限公司                                                    招股说明书


       本次发行最终战略配售结果如下:

                        获配股数    获配金额(元,不含   新股配售经纪
序号      投资者名称                                                    限售期限
                          (股)    新股配售经纪佣金)     佣金(元)
         招商证券投资
  1                     948,594        68,184,936.72         —          24 个月
           有限公司
         招商资管拓荆
         员工参与科创
  2      板战略配售集   3,080,974     221,460,411.12     1,107,302.06    12 个月
         合资产管理计
             划
         合计           4,029,568     289,645,347.84     1,107,302.06      —

       (二)保荐人相关子公司参与战略配售情况

       本次发行的保荐机构招商证券按照《实施办法》和《承销指引》的相关规定
参与本次发行的战略配售,跟投主体为招商证券投资有限公司。

       招商证券投资有限公司按照股票发行价格认购发行人本次公开发行股票数
量 2%至 5%的股票,具体比例根据发行人本次公开发行股票的规模分档确定:

       ①发行规模不足 10 亿元的,跟投比例为 5%,但不超过人民币 4,000 万元;

       ②发行规模 10 亿元以上、不足 20 亿元的,跟投比例为 4%,但不超过人民
币 6,000 万元;

       ③发行规模 20 亿元以上、不足 50 亿元的,跟投比例为 3%,但不超过人民
币 1 亿元;

       ④发行规模 50 亿元以上的,跟投比例为 2%,但不超过人民币 10 亿元。

       根据上述要求,招商证券投资有限公司按照股票发行价格认购发行人本次公
开发行股票数量 3%的股票,即 94.8594 万股,参与认购规模为人民币 6,818.4937
万元。

       (三)发行人高级管理人员、核心员工参与战略配售情况

       2022 年 1 月 4 日,发行人召开第一届董事会第七次会议,审议通过了《关
于公司高级管理人员及核心员工参与公司首次公开发行股票并在科创板上市战
略配售的议案》,同意公司高级管理人员与核心员工设立专项资产管理计划并参
与公司本次发行战略配售。2022 年 3 月 18 日,经发行人董事长授权签署了《关
于调整战略配售计划参与人员认购金额及比例的通知》。

                                      1-1-41
        拓荆科技股份有限公司                                                           招股说明书


             招商资管拓荆员工参与科创板战略配售集合资产管理计划参与战略配售数
        量为不超过本次公开发行规模的 10%,即 316.1980 万股,参与认购规模上限(含
        战略配售佣金)合计不超过 22,256.7750 万元。具体情况如下:

             产品名称          招商资管拓荆科技员工参与科创板战略配售集合资产管理计划

            管理人名称         招商证券资产管理有限公司

           实际支配主体        招商证券资产管理有限公司

             备案日期          2022 年 3 月 8 日

             备案编码          SVF025

           募集资金规模        22,256.7750 万元(含新股配售经纪佣金)

             参与人姓名、职务、实际缴款金额及比例具体如下:

                                                         参与本次战略
       参与配售                         认购金额                           员工
序号                    职务                             配售计划的比                  劳动关系所属公司
       人员姓名                         (万元)                           类别
                                                             例
                                                                                       拓荆科技股份有限
 1      吕光泉        董事长            2,954.7000            13.28%      核心员工
                                                                                               公司
                                                                                       拓荆科技股份有限
 2      姜 谦           董事            720.0000              3.23%       核心员工
                                                                                               公司
                                                                                       拓荆科技股份有限
 3      田晓明        总经理            1,688.4000            7.59%     高级管理人员
                                                                                               公司
                   副总经理、财                                                        拓荆科技股份有限
 4      刘 静                           2,427.0750            10.90%    高级管理人员
                     务负责人                                                                  公司
                                                                                       拓荆科技股份有限
 5      周 坚        副总经理           1,336.6500            6.01%     高级管理人员
                                                                                               公司
                                                                                       拓荆科技股份有限
 6      孙丽杰       副总经理           2,356.7250            10.59%    高级管理人员
                                                                                               公司
                                                                                       拓荆科技股份有限
 7      姜明霄     技术支持总监         1,055.2500            4.74%       核心员工
                                                                                               公司
                                                                                       拓荆科技(北京)有
 8      王 昕      资深销售总监         1,231.1250            5.53%       核心员工
                                                                                             限公司
                                                                                       拓荆科技股份有限
 9      于 棚        产品部长           773.8500              3.48%       核心员工
                                                                                               公司
                                                                                       拓荆科技股份有限
 10     叶五毛     资深技术总监         703.5000              3.16%       核心员工
                                                                                               公司
                                                                                       拓荆科技股份有限
 11     赵 曦       董事会秘书          703.5000              3.16%     高级管理人员
                                                                                               公司
                                                                                       拓荆科技股份有限
 12     许龙旭     生产制造总监         703.5000              3.16%       核心员工
                                                                                               公司
                                                                                       拓荆科技(上海)有
 13     庄 杨      资深产品总监         633.1500              2.84%       核心员工
                                                                                             限公司
                   资深销售及技                                                        拓荆科技股份有限
 14     张志鹏                          422.1000              1.90%       核心员工
                     术支持总监                                                                公司


                                                     1-1-42
        拓荆科技股份有限公司                                               招股说明书


                                                 参与本次战略
       参与配售                   认购金额                       员工
序号                   职务                      配售计划的比              劳动关系所属公司
       人员姓名                   (万元)                       类别
                                                     例
                                                                           拓荆科技股份有限
 15     陈新益       工艺总监     352.0000            1.58%     核心员工
                                                                                   公司
                   销售及技术支                                            拓荆科技(北京)有
 16     牛新平                    351.7500            1.58%     核心员工
                     持总监                                                      限公司
                                                                           拓荆科技股份有限
 17     谈太德     资深机械总监   281.4000            1.26%     核心员工
                                                                                   公司
                                                                           拓荆科技股份有限
 18     孙雪松     科技资源总监   281.4000            1.26%     核心员工
                                                                                   公司
                                                                           拓荆科技股份有限
 19     刘忠武     系统工程部长   281.4000            1.26%     核心员工
                                                                                   公司
                                                                           拓荆科技股份有限
 20     曲晓军     生产制造部长   281.4000            1.26%     核心员工
                                                                                   公司
                                                                           拓荆科技股份有限
 21     杨小强       财务部长     211.0500            0.95%     核心员工
                                                                                   公司
                                                                           拓荆科技股份有限
 22     李 晶        产品部长     211.0500            0.95%     核心员工
                                                                                   公司
                                                                           拓荆科技股份有限
 23     姜 崴        产品部长     211.0500            0.95%     核心员工
                                                                                   公司
                   资深射频工程                                            拓荆科技股份有限
 24     张赛谦                    211.0500            0.95%     核心员工
                       师                                                          公司
                                                                           拓荆科技股份有限
 25     朱超群     技术支持部长   201.0000            0.90%     核心员工
                                                                                   公司
                   资深机械主任                                            拓荆科技(上海)有
 26     谭华强                    160.0000            0.72%     核心员工
                     工程师                                                      限公司
                                                                           拓荆科技股份有限
 27     左岚岚     人力资源部长   140.7000            0.63%     核心员工
                                                                                   公司
                                                                           拓荆科技股份有限
 28     杨 萌        电气经理     140.7000            0.63%     核心员工
                                                                                   公司
                                                                           拓荆科技股份有限
 29     石恒宇      信息化经理    140.7000            0.63%     核心员工
                                                                                   公司
                                                                           拓荆科技股份有限
 30     陆 阳        采购部长     140.7000            0.63%     核心员工
                                                                                   公司
                                                                           拓荆科技股份有限
 31     柳 雪        产品部长     140.7000            0.63%     核心员工
                                                                                   公司
                                                                           拓荆科技股份有限
 32     杨 丹      项目管理部长   140.7000            0.63%     核心员工
                                                                                   公司
                                                                           拓荆科技股份有限
 33     王 卓        产品部长     137.5000            0.62%     核心员工
                                                                                   公司
                                                                           拓荆科技股份有限
 34     蔡新晨       工艺经理     130.0000            0.58%     核心员工
                                                                                   公司
                   法务与风险管                                            拓荆科技股份有限
 35     高晓霞                    100.5000            0.45%     核心员工
                     控总监                                                        公司
                   新技术主任工                                            拓荆科技股份有限
 36     戚艳丽                    100.5000            0.45%     核心员工
                       程师                                                        公司
        GiYoul                                                             拓荆科技股份有限
 37                资深产品总监   100.0000            0.45%     核心员工
         Kim                                                                       公司


                                             1-1-43
        拓荆科技股份有限公司                                                   招股说明书


                                                    参与本次战略
       参与配售                    认购金额                         员工
序号                   职务                         配售计划的比              劳动关系所属公司
       人员姓名                    (万元)                         类别
                                                        例
                                                                               拓荆科技股份有限
 38     杨 艳        产品部长      100.0000               0.45%    核心员工
                                                                                     公司
             合计                 22,256.7750            100.00%     —                —
        注 1:上述资管计划为权益类资管计划,其募集资金的 100%用于参与本次战略配售,即用
        于支付本次战略配售的价款、新股配售佣金。
        注 2:合计数与各部分数直接相加之和在尾数存在的差异系由四舍五入造成。
        注 3:拓荆科技(北京)有限公司、拓荆科技(上海)有限公司均系发行人拓荆科技全资子
        公司。

             根据最终确定的发行价格,招商资管拓荆员工参与科创板战略配售集合资产
        管理计划最终参与战略配售数量为 308.0974 万股,占本次公开发行规模的
        9.74%,参与认购规模为人民币 22,256.7713 万元(含新股配售经纪佣金)。

             (四)限售期

             招商证券投资有限公司获配股票的限售期为 24 个月,限售期自本次公开发
        行的股票在上交所上市之日起开始计算。

             招商资管拓荆科技员工参与科创板战略配售集合资产管理计划获配股票的
        限售期为 12 个月,限售期自本次公开发行的股票在上交所上市之日起开始计算。

             限售期届满后,战略投资者对获配股份的减持适用中国证监会和上交所关于
        股份减持的有关规定。




                                                1-1-44
拓荆科技股份有限公司                                           招股说明书



                          第四节 风险因素

     投资者在评价公司本次发行的股票时,除本招股说明书提供的其他各项资料
外,应特别认真地考虑下述各项风险因素。下述各项风险主要根据重要性原则或
可能影响投资决策的程度大小排序,该排序并不表示风险因素依次发生。

一、技术风险

     (一)技术人员流失及无法持续引入高端技术人才的风险

     详见本招股说明书“重大事项提示”之“一、重大风险因素”之“(一)技
术人员流失及无法持续引入高端技术人才的风险”。

     (二)技术创新风险

     详见本招股说明书“重大事项提示”之“一、重大风险因素”之“(六)技
术创新风险”。

     (三)核心技术失密风险

     自成立以来,公司高度重视对核心技术的保护,与全体员工签署保密协议,
与核心人员签署竞业禁止协议,但仍不排除因核心技术人员流失、员工个人工作
疏漏、外界窃取等原因导致公司核心技术失密的风险。若上述情形发生,会导致
公司竞争力下降,进而影响公司未来经营发展。

二、经营风险

     (一)收入依赖 PECVD 系列产品,ALD 产品及 SACVD 产品尚未得到大
规模验证的风险

     详见本招股说明书“重大事项提示”之“一、重大风险因素”之“(四)收
入依赖 PECVD 系列产品,ALD 产品及 SACVD 产品尚未得到大规模验证的风
险”。

     (二)Demo 机台无法实现最终销售的风险

     详见本招股说明书“重大事项提示”之“一、重大风险因素”之“(五)
Demo 机台无法实现最终销售的风险”。


                                 1-1-45
拓荆科技股份有限公司                                           招股说明书


     (三)市场竞争风险

     详见本招股说明书“重大事项提示”之“一、重大风险因素”之“(七)市
场竞争风险”。

     (四)客户相对集中的风险

     详见本招股说明书“重大事项提示”之“一、重大风险因素”之“(八)客
户相对集中的风险”。

     (五)国际贸易摩擦加剧影响公司供应链安全的风险

     近年来,美国和中国之间互相在特定领域加征关税或设置其他贸易壁垒。由
于国内半导体产业起步较晚,半导体设备上游零部件行业与海外同行业先进水平
存在一定差距。国际知名半导体零部件供应商在产品机械精度、产品使用寿命等
方面较国内零部件供应商更为成熟。目前,公司部分零部件的最优选择仍为美国、
英国、日本、韩国等国外供应商。如果国际贸易摩擦进一步加剧,可能出现上述
国外供应商受相关政策影响减少或者停止对公司零部件的供应,进而影响公司产
品生产能力、生产进度和交货时间,降低公司的市场竞争力。

     (六)收入实现受下游晶圆厂投资周期影响较大

     晶圆厂系半导体专用设备的下游客户,晶圆厂产能投资规模决定了半导体专
用设备的市场空间。晶圆厂的扩产投资受到集成电路终端产品销售市场变动、晶
圆厂新技术导入计划、晶圆厂对于未来行业发展判断的影响,具有一定的周期性。
如果下游晶圆厂的产能投资强度降低,公司将面临市场需求下降的情况,对于公
司的经营业绩会造成不利影响。

三、财务风险

     (一)扣除非经常性损益后尚未盈利及持续亏损的风险

     详见本招股说明书“重大事项提示”之“一、重大风险因素”之“(二)扣
除非经常性损益后尚未盈利及持续亏损风险”。

     (二)产品验收周期较长风险

     详见本招股说明书“重大事项提示”之“一、重大风险因素”之“(三)产


                                  1-1-46
拓荆科技股份有限公司                                                 招股说明书


品验收周期较长风险”。

     (三)毛利率水平波动的风险

     报告期内,公司主营业务毛利率分别为 33.00%、31.99%、34.12%和 45.55%,
呈小幅波动趋势。公司产品毛利率对售价、产品结构、原材料价格等因素变化较
为敏感。不同客户的产品配置、性能要求以及议价能力可能有所不同,对相同客
户的首台订单和重复订单价格也可能存在差异,从而导致公司产品毛利率存在一
定差异。如果未来下游客户需求下降、行业竞争加剧等可能导致的产品价格下降,
或者公司未能有效控制产品成本,则不能排除公司毛利率水平波动甚至开始出现
下降的可能性,给公司的经营带来一定风险。

     (四)应收账款回收的风险

     报告期各期末,公司应收账款余额分别为 6,540.84 万元、13,476.81 万元、
7,406.55 万元和 12,356.92 万元,2018-2020 年,公司应收账款余额占对应年度的
营业收入的比例分别为 92.59%、53.64%和 17.00%,公司应收账款金额较大。随
着公司经营规模的扩大,应收账款金额将可能进一步增加,公司面临资产周转率
下降、营运资金占用增加的风险。如果未来公司应收账款催收不力或主要客户自
身发生重大经营困难导致无法及时收回货款,将对公司生产经营产生不利影响。

     (五)存货跌价风险

     公司的薄膜沉积设备产品进入市场需要经历较长的验证过程。在生产阶段主
要根据客户的差异化需求和采购意向,进行定制化设计及生产制造。在产品交付
后需要安装调试并运行一段时间后,客户才能完成验收,因此公司的原材料及发
出商品随着业务规模扩张、产品种类的增加、在手订单规模的扩大而增加。

     报告期各期末,公司的存货余额分别为 33,052.11 万元、35,782.99 万元、
52,381.17 万元、99,031.84 万元,占流动资产的比例分别为 47.61%、41.78%、32.55%
和 48.61%。如果未来产品销售价格发生重大不利变化,可能导致存货可变现净
值低于账面净值,而需要计提存货跌价准备,从而影响公司的盈利水平。

     在公司的存货中,发出商品是最主要的组成部分。报告期各期末,公司的发
出商品账面余额分别为 17,016.48 万元、23,503.18 万元、36,746.35 万元、59,321.12
万元,占存货余额的比例分别为 51.48%、65.68%、70.15%和 59.90%,账面余额

                                    1-1-47
拓荆科技股份有限公司                                               招股说明书


较高且在报告期内随公司业务发展逐年增加。如果未来这些发出商品在客户端试
运行未能验收通过而被退回,可能导致存货积压,以及出现补充计提存货跌价准
备的情况,从而影响公司的流动资金及盈利水平。

     (六)经营活动现金流量净额波动的风险

     报告期内,公司经营活动产生的现金流量净额分别为-12,801.52 万元、
-2,175.61 万元、30,891.27 万元和-7,597.27 万元,报告期内前两年经营性现金流
量为负。

     由于薄膜沉积设备产品前期生产需垫付大量资金且公司未来将继续保持较
高的研发投入,随着经营规模的不断扩大,营运资金需求日益增加。如果客户不
能按时结算或及时付款,或出现外部融资不畅,政府补助政策发生变化等因素,
将影响公司的资金周转及使用效率,可能导致公司出现流动性风险,进而对公司
的经营业绩产生不利影响。

     (七)收入季节性波动风险

     受下游客户年度预算、资本性支出波动及客户验收周期等因素的影响,公司
主营业务收入呈现一定的季节性特征,每年第四季度产品销售金额及占比较高。
报告期各年度,公司四季度主营业务收入合计金额分别为 2,475.84 万元、
21,344.45 万元和 26,997.70 万元,占当期主营业务收入总额的比例分别为 37.34%、
86.16%、62.97%。公司上述收入季节性波动特征与同行业季节性波动趋势较为
接近,符合行业特征。未来一定期间,影响收入季节性波动的因素预计将持续存
在,收入的季节性波动仍会导致公司各季度业绩、现金流情况产生相应波动。发
行人提示投资者,不能简单以单个季度或半年的经营业绩推测全年的业绩水平。

     (八)政府补助政策变动风险

     报告期内,公司收到的政府补助款项分别为 4,221.41 万元、3,686.86 万元、
25,114.58 万元和 5,166.20 万元,占各期经营活动现金流入小计的 19.79%、13.50%、
27.61%和 6.58%。报告期内,公司计入其他收益的政府补助金额分别为 4,691.53
万元、4,326.27 万元、5,096.14 万元、8,074.57 万元,占当期营业收入的比重分
别为 66.41%、17.22%、11.70%和 21.60%。

     公司在报告期内收到的政府补助主要是对公司研发投入的支持。如果未来政

                                   1-1-48
拓荆科技股份有限公司                                           招股说明书


府部门对公司所处产业的政策支持力度有所减弱,或者其他补助政策发生不利变
化,公司取得的政府补助金额将会有所减少,公司将需要自筹更多资金用于研发,
进而影响公司现金流。此外,政府补助的减少,也会对公司的经营业绩产生一定
的不利影响。

     (九)税收优惠风险

     报告期内,公司享受的税收优惠政策包括高新技术企业所得税优惠。2018
至 2020 年度,公司尚未盈利,税收优惠政策尚未对公司的经营业绩和现金流量
造成影响。若未来公司实现盈利,但国家有关税收优惠的法律、法规、政策等发
生重大调整,或者由于公司未来不能持续取得国家高新技术企业资格等原因而无
法享受相关税收优惠,将对公司的经营业绩造成不利影响。

四、内控风险

     (一)无控股股东及实际控制人风险

     详见本招股说明书“重大事项提示”之“一、重大风险因素”之“(九)无
控股股东及实际控制人风险”。

     (二)管理水平未能适应规模扩张的需要

     报告期内,公司营业规模快速增长。随着公司业务的发展及募集资金投资项
目的实施,公司的业务和资产规模将进一步扩张,相应的在研发、采购、生产、
销售等环节的资源配置和内控管理的复杂程度也将不断上升。公司管理的广度和
深度在不断增加,对管理层的挑战和能力要求也在不断加强,公司内部管理的复
杂程度也不断上升。若公司的组织模式和经营管理制度未能随着公司业务规模的
扩大及时调整与完善,管理水平未能适应规模扩张的需要,公司将面临规模扩张
导致的管理和内控风险,从而给公司的稳定发展带来不利影响。

五、知识产权争议风险

     半导体设备行业属于典型的技术密集型行业,从业者通常拥有大量知识产权
并构建专利壁垒,知识产权的维护亦需要投入大量的资源。公司在产品开发中,
虽然一直重视自主知识产权的研发,建立了科学的研发体系、独立完整的技术体
系和完善的知识产权保护体系,但仍不能排除存在与竞争对手产生知识产权纠纷


                                 1-1-49
拓荆科技股份有限公司                                           招股说明书


的可能,亦不能排除公司知识产权存在被侵权的可能。知识产权争端将对公司的
正常经营活动产生不利影响。此外,半导体产业链上下游供应商与客户的经营也
可能会受知识产权争议、诉讼等因素的影响,进而间接影响公司正常的生产经营。

六、其他风险

     (一)新冠肺炎疫情对公司生产经营的影响

     2020 年初以来,全球范围内爆发新冠疫情,全球半导体产业的生产经营受
到一定影响。由于部分地区采取的隔离、封城等防疫措施,导致半导体产业供应
链较不稳定。如果新冠疫情出现恶化或长期持续,将可能对半导体及集成电路产
业制造等造成负面影响,进而对公司的经营发展、财务状况产生不利影响。

     (二)预测性陈述存在不确定性的风险

     本招股说明书列载有若干预测性的陈述,涉及公司所处行业的未来市场需
求、公司未来发展规划、业务发展目标、财务状况、盈利能力、现金流量等方面
的预期或相关的讨论。尽管公司及公司管理层相信,该等预期或讨论所依据的假
设是审慎、合理的,但亦提醒投资者注意,该等预期或讨论是否能够实现仍然存
在较大不确定性。鉴于该等风险及不确定因素的存在,本招股说明书所列载的任
何前瞻性陈述,不应视为本公司的承诺或声明。




                                 1-1-50
拓荆科技股份有限公司                                               招股说明书



                        第五节 发行人基本情况


一、发行人基本情况

          中文名称           拓荆科技股份有限公司

          英文名称           Piotech Inc.

          注册资本           9,485.8997 万元

         法定代表人          吕光泉

     有限公司成立日期        2010 年 04 月 28 日

     股份公司成立日期        2021 年 1 月 12 日

           住   所           辽宁省沈阳市浑南区水家 900 号

           邮   编           110168

           电   话           024-24188000

           传   真           024-24188000-8080

           网   址           http://www.sypiotech.cn

          电子邮箱           ir@sypiotech.cn
负责信息披露和投资者关系的
                             董事会办公室
          部门
 信息披露负责人和电话号码    赵   曦,024-24188000-8089

二、发行人设立情况

     (一)设立过程

     发行人前身沈阳拓荆科技有限公司成立于 2010 年 4 月 28 日,注册资本 1,000
万元;中国科学院沈阳科学仪器股份有限公司、孙丽杰分别出资 600 万元、400
万元,持有拓荆有限 60%、40%的股权。

     拓荆科技股份有限公司于 2021 年 1 月 8 日召开创立大会,审议通过《关于
沈阳拓荆科技有限公司整体变更为股份有限公司的议案》,以有限公司经天健会
计师《审计报告》(天健审[2020]10046 号)审计的截至 2020 年 5 月 31 日净资
产账面值 1,161,768,550.19 元折为 94,858,997 股股份,每股面值 1 元,净资产超
过注册资本的 1,066,909,553.19 元计入股份公司资本公积。



                                        1-1-51
拓荆科技股份有限公司                                                            招股说明书


     2021 年 1 月 12 日,拓荆有限完成整体变更设立为股份有限公司工商登记。

     因会计差错更正事项,2021 年 6 月 15 日,发行人股东大会审议通过《关于
确认对沈阳拓荆科技有限公司整体变更为股份有限公司时的净资产折股方案调
整情况的议案》,对拓荆有限整体变更设立为发行人时的净资产折股方案进行调
整,调整后发行人的股本总额仍为人民币 94,858,997 元。根据天健会计师事务所
(特殊普通合伙)于 2021 年 5 月 21 日出具的《审计报告》(天健审[2021]7249
号)、北京中企华资产评估有限责任公司于 2021 年 5 月 21 日出具的《追溯资产
评估报告》,拓荆有限会计差错更正后的净资产账面值、评估值均高于折股数。

     会计差错更正事项的主要情况如下:

     2018 年度:

                                                                               单位:万元
        项目            资产         负债         所有者权益    营业收入         净利润

调整前报表金额         106,378.37   19,122.40       87,255.97   10,436.79        -4,696.17

主要调整事项:
研发样机费用化调整:
调减存货、固定资产      -8,318.81             -     -8,318.81              -     -2,057.36
等、调增研发费用等
收入跨期调整:调减应
收账款、营业收入、营
                        -4,224.60    3,000.62       -7,225.22    -3,366.87       -1,935.38
业成本等,调增存货、
预收账款等
根据部门职能重新厘
定工资分配口径:调整
                        -1,976.82             -     -1,976.82              -     -1,184.49
存货、营业成本、期间
费用等
预缴及留抵增值税由
应交税费改列至其他       1,427.02    1,427.02               -              -              -
流动资产
赠送机台一次性费用
化调整:将长期待摊费      -685.99             -       -685.99              -       -685.99
用调整至销售费用
其他调整事项               45.39      -320.83         366.22         -5.52         237.10

调整金额合计           -13,733.80    4,106.81      -17,840.62    -3,372.39       -5,626.12

调整后报表金额          92,644.56   23,229.21       69,415.35    7,064.40       -10,322.29

     2019 年度:



                                     1-1-52
拓荆科技股份有限公司                                                                 招股说明书


                                                                                    单位:万元
         项目              资产         负债         所有者权益      营业收入         净利润

调整前报表金额            114,281.27   25,851.77       88,429.50      25,125.15         -661.87

主要调整事项:
研发样机费用化调整:
调减存货、固定资产         -7,615.41             -     -7,615.41                -       -896.07
等、调增研发费用等
存货跌价准备转回调
整:调增存货、调减资         416.40              -       416.40                 -       166.23
产减值损失等
原材料暂估调整:调减
预付账款,调整应付账         329.42      329.42                -                -              -
款、存货
政府补助跨期调整:调
减其他收益,调增期初               -             -             -                -       -390.48
未分配利润
其他调整事项                                                                    -
                                   -     -196.46         196.46                         -154.46
调整金额合计               -6,869.58     132.96        -7,002.55                -     -1,274.78

调整后报表金额            107,411.69   25,984.73       81,426.96      25,125.15       -1,936.64

       本次会计差错更正对 2020 年度和 2021 年 1-9 月的财务报表无影响。

       天健会计师对股份公司注册资本进行验证,并于 2021 年 5 月 21 日出具《验
资报告》(天健验[2021]120 号)。拓荆科技股份有限公司成立时,股本结构如
下:

序号                      发起人                         持股数额(万股) 持股比例(%)

 1         国家集成电路产业投资基金股份有限公司               2,512.1755               26.4833
        国投(上海)科技成果转化创业投资基金企业(有
 2                                                            1,729.7297               18.2347
                          限合伙)
 3          中微半导体设备(上海)股份有限公司                1,062.2547               11.1982

 4          嘉兴君励投资合伙企业(有限合伙)                       701.2105             7.3921

 5         青岛润扬嘉禾投资合伙企业(有限合伙)                    623.3158             6.5710

 6          中国科学院沈阳科学仪器股份有限公司                     300.0000             3.1626

 7              沈阳信息产业创业投资有限公司                       297.0297             3.1313

 8         苏州聚源东方投资基金中心(有限合伙)                    180.0180             1.8977

 9      中车国华(青岛)股权投资合伙企业(有限合伙)               162.1622             1.7095

 10        宿迁浑璞浑金二号投资中心(有限合伙)                    150.0000             1.5813


                                        1-1-53
拓荆科技股份有限公司                                                      招股说明书



序号                         发起人                   持股数额(万股) 持股比例(%)
        盐城经济技术开发区燕舞半导体产业基金(有限
 11                                                         150.0000         1.5813
                          合伙)
 12        共青城芯鑫和投资合伙企业(有限合伙)             138.0996         1.4558

 13        共青城芯鑫全投资合伙企业(有限合伙)             138.0546         1.4554

 14        共青城芯鑫龙投资合伙企业(有限合伙)             138.0416         1.4552

 15        共青城芯鑫成投资合伙企业(有限合伙)             138.0205         1.4550

 16        共青城芯鑫旺投资合伙企业(有限合伙)             138.0186         1.4550

 17        共青城芯鑫盛投资合伙企业(有限合伙)             137.9725         1.4545

 18        共青城芯鑫阳投资合伙企业(有限合伙)             137.7926         1.4526

 19                           姜谦                          123.4290         1.3012

 20              沈阳科技风险投资有限公司                    99.0099         1.0438

 21          沈阳盛腾投资管理中心(有限合伙)                78.7500         0.8302

 22     共青城盛夏股权投资管理合伙企业(有限合伙)           77.8947         0.8212

 23          沈阳盛旺投资管理中心(有限合伙)                62.7900         0.6619

 24                          吕光泉                          50.0000         0.5271

 25                    刘忆军(YIJUN LIU)                   28.0000         0.2952

 26               凌复华(FUHUA LING)                       25.5000         0.2688

 27          沈阳盛全投资管理中心(有限合伙)                23.7450         0.2503

 28                     吴飚(BIAO WU)                      17.5000         0.1845

 29                    周仁(REN ZHOU)                      17.5000         0.1845

 30          沈阳盛龙投资管理中心(有限合伙)                16.8850         0.1780

 31        张先智(SIAN CHE CYNTHIA CHANG)                  16.0000         0.1687

 32                          张孝勇                          15.0000         0.1581

                              合计                         9,485.8997      100.0000

      注:以上非自然人股东在下文中分别简称为“国家集成电路基金、国投上海、中微公司、
嘉兴君励、润扬嘉禾、中科仪、沈阳创投、苏州聚源、中车国华、宿迁浑璞、盐城燕舞、芯
鑫和、芯鑫全、芯鑫龙、芯鑫成、芯鑫旺、芯鑫盛、芯鑫阳、沈阳风投、沈阳盛腾、共青城
盛夏、沈阳盛旺、沈阳盛全、沈阳盛龙”。

       截至本招股说明书签署日,发行人股东共青城盛夏尚未签署发行人整体变更
所涉发起人协议、创立大会暨 2021 年第一次临时股东大会决议及股份公司章程。

                                             1-1-54
拓荆科技股份有限公司                                                            招股说明书


但是,共青城盛夏当时的执行事务合伙人委派代表已参加公司创立大会暨 2021
年第一次临时股东大会并对所审议的议案表决同意,并出具书面文件确认同意拓
荆有限整体变更为股份有限公司,对该事宜无异议,且发行人已完成整体变更设
立股份公司的工商变更登记手续,发行人与发起人之间就整体变更事项不存在争
议或纠纷。因此,共青城盛夏未签署前述文件不影响拓荆有限整体变更设立为股
份公司的法律效力,不会对本次发行上市产生实质性不利影响。

     (二)整体变更设立股份有限公司的未弥补亏损情况

     1、整体变更设立股份有限公司时累计未弥补亏损形成原因

     拓荆有限整体变更为股份有限公司时,改制基准日 2020 年 5 月 31 日的财务
报表未分配利润为-28,126.78 万元,存在累计未弥补亏损。

     半导体专用设备行业具有技术壁垒高、研发投入大、产品验证周期长的特点。
在公司业务发展初期,公司需要对产品进行大额的投入。在产品研发完成后,公
司需要将产品发运至客户端进行验证,验证完成后才能形成销售,而客户端的验
证周期通常较长。因此,公司在前期产品技术研发、市场培育、客户导入的过程
中,需要较高的投入,却不能在短期内转化为公司的销售收入,从而形成了整体
变更设立股份有限公司时的累计未弥补亏损。

     2、整体变更后的变化情况和发展趋势情况

     通过整体变更,公司消除了改制基准日母公司账面的累计未弥补亏损。公司
在改制基准日前,已通过数十家客户的前期工艺验证,因此在改制基准日后,公
司收入规模较改制前同期大幅上升。2020 年 6 月 1 日至 2020 年 12 月 31 日,公
司实现营业收入 41,991.94 万元,归属于母公司所有者的净利润为 2,650.28 万元。
整体变更后,公司经营状况已逐渐好转,公司整体变更后的经营发展趋势良好。

     3、与报告期内盈利水平变动的匹配关系

     公司累计未弥补亏损与其报告期内盈利水平变动相匹配,具体如下:

                                                                               单位:万元
                           2021 年       2020 年      2020 年
            项目                                                  2019 年度     2018 年度
                            1-9 月       6-12 月       1-5 月
      期初未分配利润      -25,476.50    -28,126.78   -24,327.60   -22,390.96    -12,068.67



                                       1-1-55
拓荆科技股份有限公司                                                                   招股说明书


                              2021 年       2020 年        2020 年
            项目                                                         2019 年度      2018 年度
                               1-9 月       6-12 月         1-5 月
加:本期归属于母公司所有者
                               5,796.38      2,650.28      -3,799.18      -1,936.64     -10,322.29
          的净利润
减:整体变更设立股份公司净
  资产折股减少股改基准日     -28,126.78               -              -            -              -
  母公司累计未弥补亏损数
      期末未分配利润           8,446.65    -25,476.50     -28,126.78     -24,327.60     -22,390.96

     4、对未来盈利能力的影响分析

     相关影响分析详见本招股说明书“第八节 财务会计信息与管理层分析”之
“十一、经营成果分析”之“(十)扣除非经常性损益后尚未盈利或存在累计未
弥补亏损的影响”。

     相关风险分析详见本招股说明书“第四节 风险因素”之“三、财务风险”
之“(一)扣除非经常性损益后尚未盈利及持续亏损的风险”。

     5、整体变更的具体方案及相应的会计处理

     拓荆有限以 2020 年 5 月 31 日经审计后的净资产 1,088,954,920.92 元(其中:
实收资本 94,858,997.00 元,资本公积 1,274,060,433.49 元,盈余公积 1,303,267.08
元,未分配利润-281,267,776.65 元)折为整体变更后股份有限公司股份 94,858,997
股(每股面值 1 元),资本公积-股本溢价 994,095,923.92 元。此次净资产折股业
经天健会计师事务所(特殊普通合伙)审验,并由其出具《验资报告》(天健验
[2021]120 号)。

     相关会计处理如下:

                                                                                        单位:元
               实收资本                                                           94,858,997.00

               资本公积                                                        1,274,060,433.49
    借:
               盈余公积                                                               1,303,267.08

               未分配利润                                                       -281,267,776.65

               股本                                                               94,858,997.00
    贷:
               资本公积-股本溢价                                                994,095,923.92




                                          1-1-56
拓荆科技股份有限公司                                                      招股说明书


三、发行人报告期内股本和股东变化情况

       (一)报告期初发行人股权结构情况

       截至 2018 年 1 月 1 日,发行人为中外合资企业,股权结构如下:

序号                       出资人                   出资额(万元)    出资比例(%)

  1                    国家集成电路基金                  2,512.1755          35.2959

  2                       国投上海                       1,729.7297          24.3026

  3                       中微公司                         780.0765          10.9600

  4                       中 科 仪                         600.0000           8.4300

  5                       沈阳创投                         297.0297           4.1732

  6      大连港航清洁能源创业投资基金(有限合伙)          282.1782           3.9646

  7                       苏州聚源                         180.0180           2.5292

  8                       中车国华                         162.1622           2.2784

  9                        姜 谦                           123.4290           1.7342

 10                       沈阳风投                          99.0099           1.3911

 11                       沈阳盛腾                          78.7500           1.1064

 12                       沈阳盛旺                          62.7900           0.8822

 13                        吕光泉                           50.0000           0.7025

 14                        刘忆军                           28.0000           0.3934

 15                        凌复华                           25.5000           0.3583

 16                       沈阳盛全                          23.7450           0.3336

 17                        吴 飚                            17.5000           0.2459

 18                        周 仁                            17.5000           0.2459

 19                       沈阳盛龙                          16.8850           0.2372

 20                        张先智                           16.0000           0.2248

 21                        张孝勇                           15.0000           0.2107

                         合计                            7,117.4787         100.0000

      注:大连港航清洁能源创业投资基金(有限合伙)下文简称“大连港航”




                                          1-1-57
拓荆科技股份有限公司                                             招股说明书


       (二)2019 年 5 月,发行人报告期内第一次股权转让

     2019 年 5 月,经拓荆有限董事会决议,大连港航向中微公司转让其所持拓
荆有限 3.9646%股权,转让价格为 19 元/元注册资本。2019 年 5 月 6 日,沈阳市
浑南区市场监督管理局核准拓荆有限的本次变更。

       (三)2019 年 5 月,发行人报告期内第二次股权转让

     经拓荆有限董事会 2019 年 5 月作出决议,中科仪拟对外转让所持拓荆有限
4.215%的股权。中科仪为中国科学院下属企业,本次转让采用进场交易。

     2019 年 5 月,宿迁浑璞通过公开摘牌方式,在北京产权交易所受让中科仪
所持拓荆有限 4.215%的股权,成交价格为 5,700 万元。根据北京产权交易所 2019
年 4 月 23 日出具的《企业国有资产交易凭证》,该次股权转让行为符合有关法
律法规规定及该所交易规则。

     2019 年 5 月 17 日,沈阳市浑南区市场监督管理局核准拓荆有限的本次变更。

       (四)2019 年 7 月,发行人报告期内第三次股权转让

     依据摘牌前与盐城燕舞达成的协议,宿迁浑璞于 2019 年 7 月向盐城燕舞转
让所持拓荆有限 2.1075%的股权,转让价格为宿迁浑璞在北京产权交易所挂牌交
易中取得标的股权的交易本金及资金占用成本,转让价格为 19.18 元/元注册资
本,转让价款共计 2,887.0245 万元。

     2019 年 7 月 12 日,沈阳市浑南区市场监督管理局核准拓荆有限的本次变更。

       (五)2019 年 12 月,发行人报告期内第一次增资

     经拓荆有限董事会决议,嘉兴君励、润扬嘉禾、共青城盛夏分别对公司增资
701.2105 万元、623.3158 万元、77.8947 万元,由员工持股平台芯鑫和、芯鑫全、
芯鑫龙、芯鑫成、芯鑫旺、芯鑫盛、芯鑫阳合计增资 966 万元。

     2019 年 12 月 27 日,沈阳市浑南区市场监督管理局核准拓荆有限的本次变
更。

     根据中兴财光华会计师事务所(特殊普通合伙)辽宁分所于 2020 年 5 月 19
日出具的《验资报告》(中兴财光华(辽)审验字(2020)第 03003 号),以及
天健会计师事务所(特殊普通合伙)于 2021 年 1 月 14 日出具的《实收资本复核

                                     1-1-58
拓荆科技股份有限公司                                             招股说明书


报告》(天健验[2021]116 号),拓荆有限截至 2020 年 5 月 18 日收到前述股东
缴纳的新增货币出资 2,368.421 万元,累计实收注册资本 9,485.8997 万元。

     (六)2021 年 1 月,发行人整体变更设立为股份有限公司

     详见本招股说明书“第五节 发行人基本情况”之“二、发行人设立情况”
部分。

     发行人整体变更设立为股份有限公司后,未再发生股本及股东变化。

四、发行人历史沿革中股权代持及股东无形资产出资的情况

     (一)2010 年 4 月,公司设立时的股权代持

     1、基本情况

     拓荆有限成立时注册资本 1,000 万元,中科仪、孙丽杰分别出资 60%、40%。
其中,孙丽杰以“半导体基板加工设备”技术经评估出资 400 万元。

     2、孙丽杰系代姜谦出资

     2010 年 3 月 26 日,中科仪、姜谦与孙丽杰签署了《委托持股(代持股)协
议》,各方同意由孙丽杰代姜谦出资并持有拓荆有限股权,并约定姜谦在条件具
备时,经拓荆有限股东会同意,将相关股东权益转移到自己或自己指定的任何第
三人名下,孙丽杰须无条件同意。

     3、孙丽杰代持股权的解除

     孙丽杰于 2014 年 1 月将其持有的拓荆有限 40%股权转让给姜谦等外籍专家
及公司员工持股平台,实现了代持股权的解除;代持及解除不存在纠纷或潜在纠
纷。详见本招股说明书本节“(二)2014 年 1 月,第一次股权转让,变更为中
外合资企业”部分。

     (二)2014 年 1 月,第一次股权转让,变更为中外合资企业

     经拓荆有限股东会议决议,孙丽杰于 2014 年 1 月将其持有的拓荆有限 40%
股权转让给姜谦、凌复华、张孝勇、刘忆军、张先智五名外籍专家,以及公司四
个员工持股平台沈阳盛腾、沈阳盛旺、沈阳盛全、沈阳盛龙。

     本次转让完成后,孙丽杰代持股权情形被解除。

                                  1-1-59
拓荆科技股份有限公司                                             招股说明书


     (三)2014 年 5 月,第一次增资

     1、基本情况

     2014 年 5 月,大连港航以 2,750 万元认缴新增注册资本 272.2772 万元、沈
阳创投以 3,000 万元认缴新增注册资本 297.0297 万元、沈阳风投以 1,000 万元认
缴新增注册资本 99.0099 万元,王祥慧以“单腔 ALD 设备”、“生产型 ALD 设
备”、“多列晶圆直接传输机构”三项专有技术评估作价 1,010 万元认缴新增注
册资本 100 万元。

     2、王祥慧系代姜谦出资

     王祥慧用于出资的专有技术的实际发明人为姜谦。姜谦拟将自有的 ALD 技
术出资所获得的股权,作为激励股权分配给符合公司考核条件的员工,而其本人
亦为潜在的激励和考核对象,为公平起见,不以自己名义而委托其友人王祥慧出
资及持股。

     3、王祥慧代持股权的解除

     2015 年 7 月,王祥慧将其所持拓荆有限全部股权转让给吕光泉、吴飚、周
仁及沈阳盛旺,实现了股权代持的解除;代持及解除不存在纠纷或潜在纠纷。详
见本招股说明书本节“(四)2015 年 7 月,第三次股权转让”部分。

     (四)2015 年 7 月,第三次股权转让

     2015 年 7 月,王祥慧将所持公司 2.8276%、0.9896%、0.9896%、0.8483%的
股权以 10.10 元/元注册资本的价格,分别转让给吕光泉、吴飚和周仁三位外籍专
家,以及拓荆科技员工持股平台沈阳盛旺。

     本次转让完成后,王祥慧代持股权情形被解除。

五、发行人报告期内重大资产重组情况

     报告期内,发行人不存在重大资产重组情况。

六、发行人在其他证券市场的挂牌情况

     发行人自成立以来不存在在其他证券市场挂牌的情况。



                                  1-1-60
拓荆科技股份有限公司                                                        招股说明书


七、发行人股权结构及重要关联方股权结构

     (一)发行人股权结构

     截至本招股说明书签署日,发行人的股权结构如下图所示:




     (二)发行人控股股东、实际控制人所控制的其他企业股权结构

     2019 年 1 月至本招股说明书签署日,发行人无控股股东和实际控制人,不
存在发行人控股股东、实际控制人控制其他企业的情况。

八、发行人控股子公司及对发行人有重大影响的参股公司的情况

     (一)发行人控股子公司情况

     1、拓荆科技(北京)有限公司

     (1)基本情况

公司名称               拓荆科技(北京)有限公司

法定代表人             吕光泉

注册资本               5,000.00 万元(实缴 200.00 万元)

成立日期               2020 年 12 月 11 日

注册地址               北京市北京经济技术开发区宏达北路 16 号 6 号楼 2 层 217

股权结构               拓荆科技持股 100.00%
                       为客户华北区各产线提供所需高端薄膜装备研发、设备供应以及零部
业务定位
                       件备货、生产技术支持等服务。目前尚未实际开展经营。



                                             1-1-61
拓荆科技股份有限公司                                                        招股说明书


     (2)最近一年及一期主要财务数据

                                                                           单位:万元
           期间                     总资产                净资产          净利润

   2020.12.31/2020 年度                               -              -                 -

 2021.9.30/2021 年 1-9 月               19,091.18              297.31              97.31
注:以上财务数据已经天健会计师审计。

     2、拓荆科技(上海)有限公司

     (1)基本情况

公司名称               拓荆科技(上海)有限公司

法定代表人             吕光泉

注册资本               5,000.00 万元(实缴 5000.00 万元)

成立日期               2020 年 12 月 25 日

注册地址               中国(上海)自由贸易试验区临港新片区鸿音路 1211 号 10 幢 304 室

股权结构               拓荆科技持股 100.00%
                       拟作为募集资金投资项目“ALD 设备研发与产业化项目”的实施主
业务定位
                       体,开展国际国内技术交流合作。目前尚未实际开展经营。

     (2)最近一年及一期主要财务数据

                                                                           单位:万元
           期间                     总资产                净资产          净利润

   2020.12.31/2020 年度                               -              -                 -

 2021.9.30/2021 年 1-9 月               10,464.88             4,709.90         -290.10
注:以上财务数据已经天健会计师审计。

     3、拓荆键科(海宁)半导体设备有限公司

     (1)基本情况

     2020 年 8 月 21 日,拓荆有限董事会同意公司与海宁君鑫、海宁展阳、海宁
展博、海宁经开公司合资设立拓荆键科及股权设置方案。

     拓荆键科成立于 2020 年 9 月 30 日,基本情况如下:

公司名称               拓荆键科(海宁)半导体设备有限公司

法定代表人             吕光泉


                                             1-1-62
拓荆科技股份有限公司                                                        招股说明书



注册资本               1,000.00 万元(实缴 800.00 万元)

成立日期               2020 年 9 月 30 日

注册地址               浙江省嘉兴市海宁市海宁经济开发区芯中路 8 号 3 幢
                       拓荆科技持股 55.00%;
                       海宁君鑫持股 20.00%;
股权结构               海宁展阳持股 10.00%;
                       海宁展博持股 10.00%;
                       海宁经开公司持股 5.00%。
业务定位               高端半导体新兴工艺设备研发与生产制造。

     (2)最近一年及一期主要财务数据

                                                                          单位:万元
           期间                     总资产                 净资产         净利润

   2020.12.31/2020 年度                     5,566.58            515.63          -84.37

 2021.9.30/2021 年 1-9 月                   5,081.80            149.61         -366.02
注:以上财务数据已经天健会计师审计。

       (二)对发行人有重大影响的参股公司的情况

     报告期初至本招股说明书签署日,发行人无对其有重大影响的参股公司。

九、持有发行人 5%以上股份或表决权的主要股东及实际控制人的基
本情况

       (一)控股股东、实际控制人的基本情况

     最近两年及截至本招股说明书签署日,发行人不存在控股股东或实际控制
人。

       1、公司无控股股东

     最近两年,公司第一大股东所持股股权比例不足控股,其他股东持股比例相
对分散,使得公司不存在控股股东。

       2、公司无实际控制人

     (1)2019 年 1 月 1 日至 2021 年 1 月 12 日期间,公司无实际控制人

     公司于 2021 年 1 月 12 日整体变更为股份有限公司前为中外合资企业,董事
会系最高权力机构。


                                             1-1-63
拓荆科技股份有限公司                                                 招股说明书


     自 2019 年 1 月 1 日至 2019 年 5 月 5 日期间,拓荆有限董事会由 9 名董事组
成,其中,姜谦及其一致行动人共同提名 2 名董事,国家集成电路基金提名 2 名
董事,国投上海、中微公司、中科仪、大连港航清洁能源创业投资基金(有限合
伙)、沈阳创投各提名 1 名董事。2019 年 5 月 6 日至拓荆有限整体变更为发行
人前,拓荆有限董事会由 8 名董事组成,其中,姜谦及其一致行动人共同提名 2
名董事,国家集成电路基金提名 2 名董事,国投上海、中微公司、中科仪、沈阳
创投各提名 1 名董事。

     依据拓荆有限当时适用的公司章程,2019 年 1 月 1 日至 2021 年 1 月 12 日
期间,拓荆有限董事会会议应在三分之二以上董事出席的情况下才能召开;对修
改章程等重大事项作出决议,须经出席会议董事全体一致通过;对对外投资等重
大事项作出决议,需经出席会议董事五分之四以上通过;对任免高级管理人员等
重大事项作出决议,需经出席会议董事三分之二以上通过;对于上述重大事项以
外的其他事项作出决议,需经出席会议董事二分之一以上通过。

     因此,2019 年 1 月 1 日至 2021 年 1 月 12 日期间,拓荆有限不存在单一股
东决定拓荆有限董事会半数以上成员选任的情形,任何股东均无法控制拓荆有限
董事会,并进而控制公司。

     (2)2021 年 1 月 12 日以来,公司亦无实际控制人

     2021 年 1 月 12 日拓荆有限整体变更为股份公司后,股东大会成为发行人最
高权力机构。如前所述,自 2021 年 1 月 12 日以来,发行人第一大股东所持股股
权比例不足控股,其他股东持股比例相对分散,不存在单一股东可以单独依其可
实际支配的股份所享有的表决权对公司股东大会决议产生重大影响的情形。

     公司 2021 年 1 月 12 日至今的公司章程规定,董事会由 9 名董事组成,包括
3 名独立董事。根据中国证监会《关于在上市公司建立独立董事制度的指导意见》、
发行人章程、董事会议事规则、独立董事制度等文件,发行人独立董事独立履行
职责,不受发行人主要股东或者其他与发行人存在利害关系的单位或个人的影
响。发行人的 6 名非独立董事中,国家集成电路基金有权提名 2 名,国投上海、
中微公司分别有权提名 1 名,姜谦及其一致行动人有权提名 2 名。董事会会议应
有过半数的董事出席方可举行;董事会决议的表决,实行一人一票;董事会作出


                                    1-1-64
拓荆科技股份有限公司                                                      招股说明书


决议,必须经全体董事的过半数通过。

     据此,2021 年 1 月 12 日至今,公司任何单一股东及其一致行动人提名的非
独立董事人数均不足董事会席位数的二分之一,不存在对公司董事会形成控制的
股东。因此,2021 年 1 月 12 日至今,公司不存在任何单一股东及其一致行动人
能够通过投资关系、协议或其他安排对发行人董事会实施控制的情形。

     综上, 2019 年 1 月 1 日至本招股说明书签署日,发行人不存在实际控制人,
最近两年内发行人无实际控制人的情形未发生变化。

     (二)控股股东和实际控制人直接或间接持有发行人的股份是否存在质押或
其他有争议的情况

     发行人不存在控股股东和实际控制人直接或间接持有发行人的股份质押或
其他有争议的情况。

     (三)其他持有发行人 5%以上股份或表决权的主要股东的基本情况

     1、其他主要股东基本情况

     截至本招股说明书签署日,控制发行人 5%以上股份或表决权的股东,包括
国家集成电路基金、国投上海、中微公司、嘉兴君励及其关联方盐城燕舞、润扬
嘉禾,姜谦及其一致行动人。

     (1)国家集成电路基金

     截至本招股说明书签署日,国家集成电路基金直接持有发行人 2,512.1755 万
股股份,占总股本的 26.4833%;其基本情况如下:

公司名称               国家集成电路产业投资基金股份有限公司

法定代表人             楼宇光

注册资本               9,872,000.00 万元

经营期限               2014 年 9 月 26 日至 2024 年 9 月 25 日

注册地址               北京市北京经济技术开发区景园北街 2 号 52 幢 7 层 718 室

     国家集成电路基金主营业务为股权投资及投资咨询,与公司主营业务无关联。




                                       1-1-65
拓荆科技股份有限公司                                                   招股说明书


     (2)国投上海

     截至本招股说明书签署日,国投上海持有公司 1,729.7297 万股股份,占总股
本的 18.2347%;其基本情况如下:

公司名称               国投(上海)科技成果转化创业投资基金企业(有限合伙)

执行事务合伙人         国投(上海)创业投资管理有限公司(委派代表:高爱民)

出资额                 1,000,000.00 万元

经营期限               2016 年 3 月 4 日至 2024 年 3 月 3 日

注册地址               上海市杨浦区控江路 1142 号 23 幢 4064-31 室

     国投上海的主营业务为创业投资及投资管理,与发行人主营业务无关联。

     (3)中微公司

     截至本招股说明书签署日,中微公司持有公司 1,062.2547 万股股份,占总股
本的 11.1982%;其基本情况如下:

公司名称               中微半导体设备(上海)股份有限公司(股票代码 688012.SH)

法定代表人             尹志尧(GERALD ZHEYAO YIN)

注册资本               61,624.4480 万元

经营期限               2004 年 5 月 31 日至无固定期限

注册地址               上海市浦东新区金桥出口加工区(南区)泰华路 188 号

     中微公司的主营业务为专用设备制造业,目前主营产品为刻蚀机、MOCVD
等半导体设备,产品类别与公司不同。

     (4)嘉兴君励及其关联方盐城燕舞

     截至本招股说明书签署日,嘉兴君励与盐城燕舞的基金管理人均为上海临芯
投资管理有限公司,属于关联方;共计持有公司 851.2105 万股股份,占总股本
的 8.9734%,其中嘉兴君励持有公司 701.2105 万股股份,占总股本的 7.3921%;
盐城燕舞持有公司 150.0000 万股股份,占总股本的 1.5813%。

     嘉兴君励基本情况如下:

公司名称               嘉兴君励投资合伙企业(有限合伙)

执行事务合伙人         上海临芯投资管理有限公司(委派代表:宋延延)

                                        1-1-66
拓荆科技股份有限公司                                                       招股说明书



出资额                 14,220.00 万元

经营期限               2018 年 8 月 3 日至 2038 年 8 月 2 日

注册地址               浙江省嘉兴市南湖区南江路 1856 号基金小镇 1 号楼 120 室-69

     嘉兴君励的主营业务为实业投资及投资咨询,与发行人主营业务无关联。

     盐城燕舞基本情况如下:

公司名称               盐城经济技术开发区燕舞半导体产业基金(有限合伙)

执行事务合伙人         上海临芯投资管理有限公司(委派代表:李亚军)

出资额                 10,000.00 万元

经营期限               2018 年 6 月 9 日至 2023 年 6 月 8 日
                       盐城经济技术开发区希望大道南路 5 号国际软件园 6 号楼 B 座
注册地址
                       1002 室(X)

     盐城燕舞的主营业务为股权投资、创业投资,与发行人主营业务无关联。

     (5)润扬嘉禾

     截至本招股说明书签署日,润扬嘉禾持有公司 623.3158 万股股份,占总股
本的 6.5710%;其基本情况如下:

公司名称               青岛润扬嘉禾投资合伙企业(有限合伙)
                       中合盛资本管理有限公司、中仁汇银(北京)投资基金管理有限
执行事务合伙人
                       公司(委派代表:任耀)
出资额                 13,200.00 万元

经营期限               2019 年 10 月 14 日至 2027 年 10 月 14 日

注册地址               山东省青岛市黄岛区长江中路 519 号建国大厦 2710 室

     润扬嘉禾的主营业务为股权投资、企业管理以及商务信息咨询,与发行人主
营业务无关联。

     (6)姜谦及其一致行动人

     截至本招股说明书签署日,发行人股东吕光泉、刘忆军、凌复华、吴飚、周仁、
张先智、张孝勇,以及沈阳盛腾、芯鑫和等发行人的 11 个员工持股平台,均系发
行人股东姜谦的一致行动人,合计持有发行人 15.1920%的股份。

     刘忆军、凌复华、张孝勇、张先智、吕光泉、吴飚、周仁、沈阳盛腾、沈阳盛
旺、沈阳盛全、沈阳盛龙,分别与姜谦于 2014 年 5 月 27 日、2015 年 4 月 20 日通

                                        1-1-67
拓荆科技股份有限公司                                              招股说明书


过协议结成一致行动关系,在公司董事会、股东会/大会上行使表决权、提案权、董
事监事候选人提名权等权利时,无条件且不可撤销地遵从姜谦的意见,与姜谦做出
相同的意思表示;一致行动的有效期至各协议签署方协商一致解除之日。2021 年 9
月 24 日,姜谦、刘忆军、凌复华、张孝勇、张先智、沈阳盛旺、沈阳盛全、沈阳
盛龙、沈阳盛腾于分别出具承诺,自本承诺函出具之日至拓荆科技股份有限公司首
次公开发行股票并上市之日起满 36 个月期间内,不会要求解除上述一致行动关系。
2019 年 12 月 18 日,刘忆军、凌复华、张孝勇、张先智、吕光泉、吴飚、周仁与姜
谦签署《表决权委托协议》,将提名、选举董事、监事、高级管理人员,股东会/
股东大会表决等股东权利委托姜谦行使。据此,姜谦及上述当事人一致行动关系稳
定,至少在发行人首次公开发行股票并上市之日起满 36 个月期间内不会被解除。

     2021 年 3 月 30 日,芯鑫和、沈阳盛腾等 11 个发行人员工持股平台与姜谦
签署《一致行动协议》,约定为姜谦的一致行动人,并约定如下事项:芯鑫和等
各方就公司经营管理事项行使提案、建议、表决权等股东权利时,与姜谦保持一
致,以姜谦的意见行使股东权利;协议有效期从签署之日起至公司首发上市后满
3 年之日;有效期届满后,除非各方协商一致解除该协议,否则协议持续有效;
在协议有效期内,只要姜谦、芯鑫和等各方为公司的直接或间接股东,无论所持
股权增加或减少,各方都将按姜谦的意见行使股东权利。

     姜谦、吕光泉、张孝勇的基本情况见本招股说明书“第五节 发行人基本情
况”之“十一、发行人董事、监事、高级管理人员及核心技术人员情况”之“(一)
董事、监事、高级管理人员及核心技术人员简介”部分。

     刘忆军先生,1967 年 1 月生,美国国籍。直接持有发行人 28.00 万股股份,
占总股本 0.2952%。刘忆军曾于 2014 年至 2017 年期间在发行人任副总经理。

     凌复华先生,1942 年 2 月生,美国国籍。直接持有发行人 25.5000 万股股份,
占总股本 0.2688%。凌复华曾于 2014 年至 2017 年期间在发行人任副总经理。

     吴飚先生,1962 年 5 月生,美国国籍。直接持有发行人 17.5000 万股股份,
占总股本 0.1845%。吴飚曾于 2014 年至 2020 年期间在发行人任副总经理。

     周仁先生,1963 年 1 月生,美国国籍。直接持有发行人 17.5000 万股股份,
占总股本 0.1845%。周仁曾于 2014 年至 2020 年期间在发行人任副总经理。


                                   1-1-68
拓荆科技股份有限公司                                               招股说明书


     张先智女士,1950 年 7 月生,美国国籍。直接持有发行人 16.00 万股股份,
占总股本 0.1687%。张先智目前为公司顾问。

     发行人的 11 个员工持股平台均与姜谦保持一致行动,各员工持股平台基本
情况如下:

     ①沈阳盛腾

     沈阳盛腾为发行人员工持股平台,直接持有发行人 78.7500 万股股份,占本
次发行前总股本的 0.8302%,基本情况如下:

公司名称               沈阳盛腾投资管理中心(有限合伙)

执行事务合伙人         刘静

出资额                 88.9125 万元

经营期限               2013 年 10 月 18 日至 2028 年 10 月 17 日

注册地址               辽宁省沈阳市浑南区水家 900 号 401 室

     截至本招股说明书签署日,沈阳盛腾合伙人均为发行人的在职或离职员工。

     ②沈阳盛旺

     沈阳盛旺为发行人员工持股平台,直接持有发行人 62.7900 万股股份,占本
次发行前总股本的 0.6619%,基本情况如下:

公司名称               沈阳盛旺投资管理中心(有限合伙)

执行事务合伙人         孙丽杰

出资额                 206.0469 万元人民币

经营期限               2013 年 10 月 21 日至 2028 年 10 月 20 日

注册地址               辽宁省沈阳市浑南区水家 900 号 417 室

     截至本招股说明书签署日,沈阳盛旺合伙人均为发行人的在职或离职员工。

     ③沈阳盛全

     沈阳盛全为发行人员工持股平台,直接持有发行人 23.7450 万股股份,占本
次发行前总股本的 0.2503%,基本情况如下:




                                       1-1-69
拓荆科技股份有限公司                                               招股说明书



公司名称               沈阳盛全投资管理中心(有限合伙)

执行事务合伙人         刘静

出资额                 27.8569 万元人民币

经营期限               2013 年 10 月 18 日至 2028 年 10 月 17 日

注册地址               辽宁省沈阳市浑南区水家 900 号 406 室

     截至本招股说明书签署日,沈阳盛全的合伙人均为发行人的在职或离职员
工。

     ④沈阳盛龙

     沈阳盛龙为发行人员工持股平台,直接持有发行人 16.8850 万股股份,占发
行前总股本的 0.1780%,基本情况如下:

公司名称               沈阳盛龙投资管理中心(有限合伙)

执行事务合伙人         孙丽杰

出资额                 20.2423 万元人民币

经营期限               2013 年 10 月 18 日至 2028 年 10 月 17 日

注册地址               辽宁省沈阳市浑南区水家 900 号 419 室

     截至本招股说明书签署日,沈阳盛龙的合伙人均为发行人的在职或离职员
工。

     ⑤芯鑫和

     芯鑫和为发行人员工持股平台,直接持有发行人 138.0996 万股股份,占本
次发行前总股本的 1.4558%,基本情况如下:

公司名称               共青城芯鑫和投资合伙企业(有限合伙)

执行事务合伙人         刘静

出资额                 15.1915 万元

经营期限               2019 年 10 月 9 日至 2034 年 10 月 8 日

注册地址               江西省九江市共青城市基金小镇内

     截至本招股说明书签署日,芯鑫和的合伙人均为发行人的在职或离职员工。




                                       1-1-70
拓荆科技股份有限公司                                             招股说明书


     ⑥芯鑫全

     芯鑫全为发行人员工持股平台,直接持有发行人 138.0546 万股股份,占本
次发行前总股本的 1.4554%,基本情况如下:

公司名称               共青城芯鑫全投资合伙企业(有限合伙)

执行事务合伙人         孙丽杰

出资额                 15.1862 万元人民币

经营期限               2019 年 10 月 9 日至 2034 年 10 月 8 日

注册地址               江西省九江市共青城市基金小镇内

     截至本招股说明书签署日,芯鑫全的合伙人均为发行人的在职或离职员工。

     ⑦芯鑫龙

     芯鑫龙为发行人员工持股平台,直接持有发行人 138.0416 万股股份,占本
次发行前总股本的 1.4552%,基本情况如下:

公司名称               共青城芯鑫龙投资合伙企业(有限合伙)

执行事务合伙人         刘静

出资额                 15.1848 万元人民币

经营期限               2019 年 10 月 9 日至 2034 年 10 月 8 日

注册地址               江西省九江市共青城市基金小镇内

     截至本招股说明书签署日,芯鑫龙的合伙人均为发行人的在职或离职员工。

     ⑧芯鑫成

     芯鑫成为发行人员工持股平台,直接持有发行人 138.0205 万股股份,占发
行前总股本的 1.4550%,基本情况如下:

公司名称               共青城芯鑫成投资合伙企业(有限合伙)

执行事务合伙人         孙丽杰

出资额                 15.1824 万元人民币

经营期限               2019 年 10 月 9 日至 2034 年 10 月 8 日

注册地址               江西省九江市共青城市基金小镇内

     截至本招股说明书签署日,芯鑫成的合伙人均为发行人的在职或离职员工。

                                       1-1-71
拓荆科技股份有限公司                                             招股说明书


     ⑨芯鑫旺

     芯鑫旺为发行人员工持股平台,直接持有发行人 138.0186 万股股份,占本
次发行前总股本的 1.4550%,基本情况如下:

公司名称               共青城芯鑫旺投资合伙企业(有限合伙)

执行事务合伙人         孙丽杰

出资额                 15.1824 万元人民币

经营期限               2019 年 10 月 9 日至 2034 年 10 月 8 日

注册地址               江西省九江市共青城市基金小镇内

     芯鑫旺的合伙人林忠明、许福男、郑博丞,是为发行人台湾客户提供服务的
台湾劳务派遣公司委派人员。除上述情况外,截至本招股说明书签署日,芯鑫旺
的其他合伙人均为发行人的在职或离职员工。

     ⑩芯鑫盛

     芯鑫盛为发行人员工持股平台,直接持有发行人 137.9725 万股股份,占本
次发行前总股本的 1.4545%,基本情况如下:

公司名称               共青城芯鑫盛投资合伙企业(有限合伙)

执行事务合伙人         孙丽杰

出资额                 15.1770 万元人民币

经营期限               2019 年 10 月 9 日至 2034 年 10 月 8 日

注册地址               江西省九江市共青城市基金小镇内

     截至本招股说明书签署日,芯鑫盛的合伙人均为发行人的在职或离职员工。

     芯鑫阳

     芯鑫阳为发行人员工持股平台,直接持有发行人 137.7926 万股股份,占本
次发行前总股本的 1.4526%,基本情况如下:

公司名称               共青城芯鑫阳投资合伙企业(有限合伙)

执行事务合伙人         刘静

出资额                 15.1577 万元人民币

经营期限               2019 年 10 月 9 日至 2034 年 10 月 8 日


                                       1-1-72
拓荆科技股份有限公司                                                             招股说明书



注册地址                      江西省九江市共青城市基金小镇内

       截至本招股说明书签署日,芯鑫阳的合伙人均为发行人的在职或离职员工。

       2、持有发行人 5%以上股份或表决权的主要股东所持发行人股份质押或其
他有争议的情况

       截至本招股说明书签署日,除以下情形外,直接持有发行人 5%以上股份或
表决权的主要股东所持的发行人股份不存在质押情形。

       (1)姜谦的一致行动人,发行人七家共青城员工持股平台所持发行人股份
质押情况

       2019 年 12 月拓荆有限增资时,发行人员工持股平台芯鑫和、芯鑫全、芯鑫
龙、芯鑫成、芯鑫旺、芯鑫盛、芯鑫阳以 19 元/元注册资本的价格认缴拓荆有限
新增注册资本合计 966 万元,增资价款 18,354 万元。员工持股平台为筹措出资
款,向参与本次增资的机构投资人或投资人关联方借款,并于 2020 年 5 月 20 日
将所持发行人股份予以质押。截至本招股说明书签署日,上述质押事项尚未解除。

       员工持股平台质押股份数额以及取得的借款数额情况如下:

            出质人/                质权人/              出质发行人股数       借款金额
序号
            借款人                 出借人                   (股)             (元)
 1          芯鑫和                共青城盛夏                     1,380,996    26,238,924.00

 2          芯鑫龙                 上海鋆赫                      1,380,416    26,227,904.00

 3          芯鑫成                 上海鋆赫                      1,380,205    26,223,895.00

 4          芯鑫旺                 润扬嘉木                      1,380,186    26,223,534.00

 5          芯鑫盛                 上海鋆赫                      1,379,725    26,214,775.00

 6          芯鑫阳                 上海鋆赫                       689,654     13,103,426.00

 7          芯鑫阳                 润扬嘉木                       688,272     13,077,168.00

 8          芯鑫全                共青城盛夏                      536,842     10,199,998.00

 9          芯鑫全                共青城盛夏                      516,821     9,819,601.00

 10         芯鑫全                 润扬嘉木                       326,883      6,210,775.00

                       合计                                      9,660,000   183,540,000.00

      注:上海鋆赫指上海鋆赫商务咨询合伙企业(有限合伙),嘉兴君励关联方;润扬嘉木
指青岛润扬嘉木投资合伙企业(有限合伙),润扬嘉禾关联方。

                                               1-1-73
拓荆科技股份有限公司                                              招股说明书


       共青城盛夏本身系本次增资的投资人。出借人上海鋆赫的有限合伙人及出资
比例与本次增资的投资人嘉兴君励的有限合伙人及出资比例一致,上海鋆赫的普
通合伙人深圳临芯投资有限公司和嘉兴君励的普通合伙人上海临芯投资管理有
限公司的实际控制人均为李亚军。本次增资的投资人润扬嘉禾系出借人润扬嘉木
的有限合伙人,且润扬嘉禾的其他合伙人均为润扬嘉木的合伙人。

       借贷双方签署的借款协议及质押协议中不存在流质约定。借贷双方借款关系
真实,不存在股份代持安排,不存在出借方通过借款安排间接投资发行人等“明
债实股”的情形。根据借款协议约定,借款人有权选择将其所持有并质押的发行
人股份转让给出借人以抵偿其所负借款本息,符合我国《民法典》的规定,不因
此构成借款方对发行人的股权投资。

       (2)持有发行人 5%以上股份或表决权的主要股东润扬嘉禾,与共青城盛夏
所持发行人股份争议及冻结情况

       关于润扬嘉禾与共青城盛夏之间的纠纷和诉讼以及股份冻结的具体情况,请
参见本招股说明书“重大事项提示”之“五、其他重大事项”之“(二)股东纠
纷及股份冻结”。

十、发行人股本情况

       (一)本次发行前的总股本、本次发行及公开发售的股份,以及本次发行的
股份占发行后总股本的比例

       本次发行前,公司总股本为 9,485.8997 万股;本次公开发行人民币普通股
3,161.9800 万股,占发行后总股本的比例为 25.00%。

       (二)本次发行前的前十名股东

 序号              股东名称           所持股份(万股)       比例(%)

   1          国家集成电路基金                  2,512.1755          26.4833

   2               国投上海                     1,729.7297          18.2347

   3               中微公司                     1,062.2547          11.1982

   4               嘉兴君励                      701.2105            7.3921

   5               润扬嘉禾                      623.3158            6.5710



                                      1-1-74
拓荆科技股份有限公司                                                                   招股说明书



 序号              股东名称                  所持股份(万股)               比例(%)

   6                   中科仪                               300.00                        3.1626

   7               沈阳创投                               297.0297                        3.1313

   8               苏州聚源                               180.0180                        1.8977

   9               中车国华                               162.1622                        1.7095

  10-1             宿迁浑璞                                 150.00                        1.5813

  10-2             盐城燕舞                                 150.00                        1.5813

       (三)本次发行前的前十名自然人股东及其在发行人处担任的职务

       截至本招股说明书签署日,公司全部八名自然人股东持股及任职情况如下:

 序号      股东姓名         所持股份(万股)         比例(%)           在公司任职情况

  1         姜   谦                    123.4290           1.3012              董事

  2         吕光泉                        50.00           0.5271             董事长

  3         刘忆军                        28.00           0.2952                  无

  4         凌复华                      25.5000           0.2688                  无

  5         吴   飚                     17.5000           0.1845                  无

  6         周   仁                     17.5000           0.1845                  无

  7         张先智                        16.00           0.1687              顾问

  8         张孝勇                        15.00           0.1581            副总经理

       (四)股东中的国有或外资股份情况

       1、发行人国有股份情况

       截至本招股说明书签署日,国家集成电路基金、沈阳创投、沈阳风投和中科
仪属于《上市公司国有股权监督管理办法》规定的国有股东。根据发行人第一大
国有股东国家集成电路基金于 2021 年 9 月 10 日出具的《国家集成电路产业投资
基金股份有限公司关于确认拓荆科技股份有限公司国有股权管理方案的函》(国
集投函[2021]78 号),拓荆科技国有股份情况如下:

                                                                                       持股比例
 序号                       股东名称                      持股数量(万股)
                                                                                         (%)
  1                    国家集成电路基金 SS                           2,512.1755             26.48



                                            1-1-75
拓荆科技股份有限公司                                                           招股说明书


                                                                               持股比例
 序号                         股东名称                    持股数量(万股)
                                                                                 (%)
  2                         沈阳创投 SS                            297.0297           3.13

  3                         沈阳风投 SS                             99.0099           1.04

  4                          中科仪 CS                               300.00           3.16

                            合计                                  3,208.2151         33.81

       2、发行人外资股份情况

       截至本招股说明书签署日,拓荆科技外资股份情况如下:

 序号              股东姓名                 所持股份(万股)            比例(%)

  1           姜     谦(美籍)                        123.4290                     1.3012

  2           吕光泉(美籍)                              50.00                     0.5271

  3           刘忆军(美籍)                              28.00                     0.2952

  4           凌复华(美籍)                            25.5000                     0.2688

  5           吴     飚(美籍)                         17.5000                     0.1845

  6           周     仁(美籍)                         17.5000                     0.1845

  7           张先智(美籍)                              16.00                     0.1687

  8           张孝勇(美籍)                              15.00                     0.1581

               合计                                    292.9290                     3.0881

       (五)最近一年发行人新增股东的持股数量及变化情况、取得股份的时间、
价格和定价依据

       截至本招股说明书签署日前一年内,发行人无新增股东,股东持股数量未发
生变化。

       (六)本次发行前各股东间的关联关系及关联股东的各自持股比例

       本次发行前各股东间的关联关系,以及关联股东的各自持股比例如下:

 序号      关联方名称/姓名         持股比例(%)                  关联关系

                姜     谦                1.3012

   1            吕光泉                   0.5271                   一致行动

                刘忆军                   0.2952



                                              1-1-76
拓荆科技股份有限公司                                                    招股说明书



 序号      关联方名称/姓名   持股比例(%)                关联关系

                凌复华          0.2688

                张先智          0.1687

                张孝勇          0.1581

                吴     飚       0.1845

                周     仁       0.1845

               沈阳盛腾         0.8302

               沈阳盛旺         0.6619

               沈阳盛全         0.2503

               沈阳盛龙         0.1780

                芯鑫和          1.4558

                芯鑫全          1.4554

                芯鑫龙          1.4552

                芯鑫成          1.4550

                芯鑫旺          1.4550

                芯鑫盛          1.4545

                芯鑫阳          1.4526

               嘉兴君励         7.3921        基金管理人均为上海临芯投资管理有限
   2
               盐城燕舞         1.5813                      公司

               沈阳盛腾         0.8302

               沈阳盛全         0.2503

   3            芯鑫和          1.4558             执行事务合伙人均为刘静

                芯鑫龙          1.4552

                芯鑫阳          1.4526

               沈阳盛旺         0.6619

               沈阳盛龙         0.1780

                芯鑫全          1.4554
   4                                              执行事务合伙人均为孙丽杰
                芯鑫成          1.4550

                芯鑫旺          1.4550

                芯鑫盛          1.4545

                                     1-1-77
拓荆科技股份有限公司                                                        招股说明书



 序号        关联方名称/姓名   持股比例(%)                    关联关系

                中微公司           11.1982       中微公司董事张亮控制的企业上海岳橙
     5                                           科技有限公司担任共青城盛夏执行事务
               共青城盛夏          0.8212                      合伙人

         (七)本次公开发售股份对发行人的控制权、治理结构及生产经营产生的影
响

         本次发行股份全部为新股,不涉及公开发售股份的情形,对发行人的控制权、
治理结构及生产经营不会产生影响。

         (八)私募基金产品持股情况

         1、发行人直接股东中不存在“三类股东”持股的情形

         截至本招股说明书签署日,发行人直接股东中不存在契约性基金、信托计划、
资产管理计划“三类股东”持股的情形。

         2、发行人私募基金产品类股东情况

         截至本招股说明书签署日,发行人股东中的私募基金产品类股东共计 9 名,
均在基金业协会完成备案及管理人登记,持股情况如下:

 序号             持有人名称            持有数量(万股)            持有比例(%)

     1          国家集成电路基金                     2,512.18                 26.4833

     2             国投上海                          1,729.73                 18.2347

     3             嘉兴君励                         701.2105                   7.3921

     4             润扬嘉禾                         623.3158                   6.5710

     5             沈阳创投                         297.0297                   3.1313

     6             苏州聚源                          180.018                   1.8977

     7             中车国华                         162.1622                   1.7095

     8             宿迁浑璞                           150.00                   1.5813

     9             盐城燕舞                           150.00                   1.5813

         3、发行人股东共青城盛夏的情况

         共青城盛夏原为私募基金产品,2019 年 12 月 16 日完成私募基金备案,备
案编号:SJJ396;其原基金管理人上海兴橙投资管理有限公司于 2015 年 12 月 2


                                        1-1-78
拓荆科技股份有限公司                                                   招股说明书


日完成私募基金管理人登记,登记编号:P1028590。

      2019 年 12 月,共青城盛夏向基金业协会申请提前清算,并于同月完成了基
金产品清算,目前基金运作状态为“提前清算”,基金信息最后更新时间为 2019
年 12 月 31 日。此后,共青城盛夏仅作为有限合伙企业存续。

十一、发行人董事、监事、高级管理人员及核心技术人员情况

       (一)董事、监事、高级管理人员及核心技术人员简介

       1、董事会成员简介

      截至本招股说明书签署日,公司董事会成员名单及其简历如下:

序号        姓名           职务        提名人                   任期

  1        吕光泉      董事长      芯鑫龙、芯鑫全    2021 年 1 月至 2024 年 1 月

  2        姜   谦         董事    芯鑫和、芯鑫成    2021 年 1 月至 2024 年 1 月

  3        杨征帆          董事   国家集成电路基金   2021 年 1 月至 2024 年 1 月

  4        杨   柳         董事   国家集成电路基金   2021 年 12 月至 2024 年 1 月

  5        齐   雷         董事       国投上海       2021 年 1 月至 2024 年 1 月

  6        尹志尧          董事       中微公司       2021 年 1 月至 2024 年 1 月

  7        吴汉明      独立董事        芯鑫盛        2021 年 1 月至 2024 年 1 月

  8        黄宏彬      独立董事        芯鑫旺        2021 年 1 月至 2024 年 1 月

  9        赵国庆      独立董事        芯鑫阳        2021 年 1 月至 2024 年 1 月

      吕光泉先生,1965 年出生,美国国籍,美国加州大学圣地亚哥分校博士。
1994 年 8 月至 2014 年 8 月,先后任职于美国科学基金会尖端电子材料研究中心、
美国诺发、德国爱思强公司美国 SSTS 部,历任副研究员、工程技术副总裁等职。
2014 年 9 月至今就职于公司,曾任技术总监、总经理、董事,现任公司董事长。

      姜谦先生,1952 年出生,美国国籍,美国布兰迪斯大学博士。1982 年 1 月
至 2005 年 10 月,先后任职于麻省理工学院、英特尔公司、美国诺发,历任研究
员、研发副总裁等职;2006 年 4 月至 2010 年 3 月,任欣欣科技(沈阳)有限公
司执行董事。2010 年 4 月至今就职于公司,曾任总经理、董事长,现任公司董
事。



                                   1-1-79
拓荆科技股份有限公司                                             招股说明书


     杨征帆先生,1981 年出生,中国国籍,无境外永久居留权,英国布里斯托
大学硕士。2004 年 12 月至今,先后任职于清华同方威视技术股份有限公司、中
国人民银行沈阳分行、开元(北京)城市发展基金有限公司、华芯投资管理有限
责任公司(以下简称“华芯投资”),历任软件工程师、投资部门副总经理等职。
2015 年 12 月至今,任公司董事。

     杨柳先生,1979 年出生,中国国籍,无境外永久居留权,清华大学材料学
硕士及 MBA。2004 年 4 月至 2021 年 3 月,先后就职于应用材料公司、大族激
光、中广核太阳能开发有限公司和国开金融有限责任公司,历任技术工程师、工
艺总监、投资管理高级经理、总经理助理等职;2021 年 4 月至今,就职于华芯
投资,任资深经理。2021 年 12 月至今,任公司董事。

     齐雷先生,1979 年出生,中国国籍,无境外永久居留权,中国人民解放军
信息工程大学硕士。2004 年 8 月年至今,先后任职于中国人民解放军某部队、
中国国投高新产业投资有限公司、国投创业投资管理有限公司,历任助理研究员、
执行总经理等职。2017 年 9 月至今,任公司董事。

     尹志尧先生,1944 年出生,美国国籍,加州大学洛杉矶分校博士。1984 年
3 月至今,先后任职于英特尔中心、泛林半导体、应用材料、中微公司,历任工
艺工程师、董事长等职。2015 年 12 月至 2017 年 9 月,曾任拓荆有限董事;2021
年 1 月至今,任公司董事。

     吴汉明先生,1952 年出生,中国国籍,无境外永久居留权,工学博士,中
国工程院院士。1997 年 10 月至今,先后任职于美国诺发、英特尔公司、中芯国
际集成电路制造有限公司、浙江大学微纳电子学院,历任工程师、院长等职。 2021
年 1 月至今,任公司独立董事。

     黄宏彬先生,1971 年出生,中国国籍,无境外永久居留权,上海财经大学
工商管理硕士。1994 年 7 月至今,先后任职于上海万国证券公司、上海证券交
易所、金浦产业投资基金管理有限公司、京通智汇资产管理有限公司、金圆国际
有限公司、上海斐君投资管理中心(有限合伙),历任稽核总部经理、创始合伙
人等职。2021 年 1 月至今,任公司独立董事。

     赵国庆先生,1979 年出生,中国国籍,无境外永久居留权,南京大学会计


                                  1-1-80
拓荆科技股份有限公司                                                       招股说明书


学博士,具有中国注册会计师资格。2002 年 8 月至今,先后任职于南京市江宁
地方税务局、国家税务总局税务干部学院、中汇江苏税务师事务所有限公司、上
海易宏人力资源服务有限公司,历任副科长、业务总监等职。2021 年 1 月至今,
任公司独立董事。

       2、监事会成员简介

       截至本招股说明书签署日,公司监事会成员名单及其简历如下:

序号      姓名           职务        提名人/选举机构                任期

  1      叶五毛        监事会主席     职工代表大会       2021 年 1 月至 2024 年 1 月

  2      郭   郢         监事        国家集成电路基金    2021 年 4 月至 2024 年 1 月

  3      曹   阳         监事           国投上海         2021 年 1 月至 2024 年 1 月

  4      许荣伟          监事       嘉兴君励、盐城燕舞   2021 年 1 月至 2024 年 1 月

  5      苑   雪         监事           沈阳创投         2021 年 1 月至 2024 年 1 月

  6      刘忠武    职工代表监事       职工代表大会       2021 年 1 月至 2024 年 1 月

       叶五毛先生,1961 年出生,美国国籍,加州大学伯克利分校博士;1990 年
9 月至 2013 年 9 月,先后任职于 Nashua Computer Products、Western Digital, Santa
Clara, CA、美国诺发、NegevTech, Inc.、Hitachi High-Technologies America、
Honeywell International,先后任工艺工程师、产品经理等职。2017 年 8 月至今就
职于公司,现任资深技术总监;2021 年 1 月至今,任公司监事会主席。

       郭郢女士,1983 年出生,中国国籍,无境外永久居留权,英国伯明翰大学
硕士。2008 年 9 月至今,先后任职于普华永道(深圳)有限公司、华芯投资,
历任高级咨询顾问、风险管理部经理等职。2021 年 4 月至今,任公司监事。

       曹阳先生,1982 年出生,中国国籍,无境外永久居留权,清华大学硕士。
2008 年 9 月至今,先后任职于安永华明会计师事务所、国家开发投资公司、国
投矿业投资有限公司、国投创业投资管理有限公司,历任审计助理、投资总监等
职。2018 年 11 月至今,任公司监事。

       许荣伟先生,1977 年出生,中国国籍,无境外永久居留权,华东理工大学
硕士。1996 年 12 月至今,先后任职于盐城市盐都区鞍湖镇和龙冈镇财政所、盐
城市亭湖区财政局、盐城经济技术开发区财政局和大丰港产业园、盐城经济技术

                                       1-1-81
拓荆科技股份有限公司                                                  招股说明书


开发区住房保障和建设局、盐城东方投资开发集团有限公司、海口恒众信息科技
合伙企业,历任办事员、执行事务合伙人等职。2021 年 1 月至今,任公司监事。

      苑雪女士,1985 年出生,中国国籍,无境外永久居留权,辽宁大学硕士。
2011 年 8 月至今,先后任职于沈阳达锐投资管理有限公司、沈阳信息产业创业
投资管理有限公司,历任副总经理等职;2019 年 11 月至今,兼任沈阳创投总经
理。2019 年 11 月至 2021 年 1 月,曾任拓荆有限董事;2021 年 1 月至今,任公
司监事。

      刘忠武先生,1974 年出生,中国国籍,无境外永久居留权,哈尔滨工业大
学硕士。1998 年 10 月至 2010 年 4 月,先后任职于北京豪仪测控工程有限公司、
哈尔滨新一方科技开发有限公司、中科仪,历任工程师、电气部部长等职。2010
年 4 月至今就职于公司,现任电气电子工程部部长、职工代表监事。

      3、高级管理人员简介

      截至本招股说明书签署日,公司高级管理人员名单及其简历如下:

序号             姓名              职务                    任职期间

  1             田晓明            总经理           2021 年 1 月至 2024 年 1 月

  2             张孝勇           副总经理          2021 年 1 月至 2024 年 1 月

  3             周     坚        副总经理          2021 年 1 月至 2024 年 1 月

  4             刘     静   副总经理、财务负责人   2021 年 1 月至 2024 年 1 月

  5             孙丽杰           副总经理          2021 年 1 月至 2024 年 1 月

  6             赵     曦       董事会秘书         2021 年 1 月至 2024 年 1 月

      田晓明先生,1956 年出生,美国国籍,美国东北大学电子工程学硕士,新
加坡南洋理工大学工商管理硕士。1982 年 2 月至 2018 年 2 月,先后任职或就读
于江西景光电子有限公司、美国东北大学、美国 Codi Semiconductor, Inc.、泛林
半导体、尼康精机(上海)有限公司,历任设计工程师、资深副总裁等职。2018
年 2 月至今就职于公司,现任公司总经理。

      张孝勇先生,1971 年出生,美国国籍,美国马里兰大学化学工程博士。2000
年 9 月至 2011 年 2 月,就职于美国诺发,在 PECVD 及 ALD 产品部历任工艺开
发工程师、资深工艺开发工程师、超低介电质工艺开发经理、资深重要客户经理。

                                   1-1-82
拓荆科技股份有限公司                                                    招股说明书


2011 年 3 月至今就职于公司,现任公司副总经理。

      周坚先生,1963 年出生,美国国籍,美国德克萨斯 A&M 大学电气工程硕士。
1984 年 8 月至 2018 年 10 月,先后任职或就读于江西邮电科研所、美国德克萨
斯 A&M 大学、Nonometrics Inc.、Mattson Technology, Inc.、Nonometrics Inc.、
Ecovoltz Inc.、睿励科学仪器(上海)有限公司,历任工程师、软件部总监等职。
2018 年 11 月至今就职于公司,现任公司副总经理。

      刘静女士,1971 年出生,中国国籍,无境外永久居留权,毕业于东北财经
大学会计学专业,具备中国注册会计师资格。1993 年 5 月至 2010 年 4 月,先后
任职于沈阳纺织厂、沈阳北泰方向集团有限公司下属公司、辽宁中天华程科技有
限公司,历任财务主管、财务总监、副总经理等职。2010 年 4 月至今就职于公
司,曾任财务经理,现任公司副总经理、财务负责人。

      孙丽杰女士,1968 年出生,中国国籍,无境外永久居留权,北京航空航天
大学学士,东北大学工商管理硕士(EMBA),高级经济师。1992 年 12 月至 2009
年 9 月,先后任职于辽宁经济技术交流馆、辽宁展览贸易集团有限公司、沈阳芯
源微电子设备有限公司,历任总经理助理、行政总监等职。2010 年 4 月至今就
职于公司,曾任董事会秘书,现任公司副总经理。

      赵曦女士,1983 年出生,中国国籍,无境外永久居留权,辽宁大学国际法
学硕士,具有中国注册会计师资格、上海证券交易所董事会秘书资格(主板、科
创板)、法律职业资格、(准)保荐代表人资格。2009 年 3 月至 2019 年 11 月,
先后任职于北京金诚同达律师事务所、中信证券股份有限公司、网信证券有限责
任公司,历任专职律师、高级业务总监等职。2019 年 12 月至今任职于公司,现
任公司董事会秘书。

      4、核心技术人员简介

      截至本招股说明书签署日,公司共有 7 名核心技术人员,名单、研发贡献及
简历如下:

序号     姓名          职务                        研发贡献
                              成功领导研发团队完成“90-65nm 等离子体增强化学气相沉
  1     姜 谦          董事   积设备研发与应用”研发,参与“1x nm 3D NAND PECVD
                                研发及产业化”国家重大科技专项及多项产品研发。

                                       1-1-83
拓荆科技股份有限公司                                                           招股说明书



序号      姓名           职务                             研发贡献
                                    成功领导研发团队完成“1x nm 3D NAND PECVD 研发及产
                                    业化”国家重大科技专项,领导团队研发“国家科技重大专
  2       吕光泉        董事长      项课题 A(ALD 相关)”、“国家集成电路装备项目 A(介
                                    质薄膜先进工艺相关)”等国家重大科技项目/课题,成功
                                        领导完成 ALD、SACVD 设备研发并产业化应用。
                                    参与领导“国家科技重大专项课题 A(ALD 相关)”、“国
                                    家科技重大专项课题 B(先进工艺 PECVD 相关)”、“国
  3       田晓明        总经理      家集成电路装备项目 A(介质薄膜先进工艺相关)”等国家
                                    重大科技项目/课题研发,参与领导 SACVD 设备并产业化
                                                            应用。
                                    参与公司 12 英寸 PECVD 设备生产型号 PF-300T 的研发及
  4       张孝勇    副总经理        产业化应用,负责“国家科技重大专项课题 A(ALD 相关)”
                                              及公司先进工艺 PECVD 设备研发。
                                    负责领导公司半导体设备软件开发及优化,设备电气、系统
  5       周 坚     副总经理
                                                      平台的设计及优化。
                     监事会主
                                    负责公司 HTM PECVD 工艺技术开发及优化改进,完成 12
  6       叶五毛   席、资深技
                                        英寸 ACHM 工艺 PECVD 设备研发及产业化应用。
                     术总监
                                    作为研发骨干参与多项国家重大科技专项的研发,负责“国
  7       宁建平   产品部总监       家科技重大专项课题 B(先进工艺 PECVD 相关)”及先进
                                              制程 PECVD 设备的研发及产业化。

      姜谦、吕光泉、田晓明、张孝勇、周坚、叶五毛的个人简介请参见本节“十
一、发行人董事、监事、高级管理人员及核心技术人员情况”之“(一)董事、
监事、高级管理人员及核心技术人员简介”之“1、董事会成员简介”、“2、监
事会成员简介”、“3、高级管理人员简介”。

      宁建平女士,1983 年出生,中国国籍,无境外永久居留权,贵州大学硕士,
大连理工大学博士在读。2010 年 7 月开始任职于公司及子公司拓荆键科,历任
产品部部长、研发部总监等职,现任公司产品部总监。

      (二)董事、监事、高级管理人员及核心技术人员兼职情况

      截至 2021 年 12 月 31 日,公司董事、监事、高级管理人员及核心技术人员
在发行人及其子公司以外的单位任职情况如下:

                                                                           其他任职单位
  姓名       公司职务               其他任职单位            任职职务
                                                                           与公司的关系
                                                                           公司股东国家
                                                         投资三部副总经
                                      华芯投资                             集成电路基金
                                                               理
                                                                           的基金管理人
 杨征帆          董事
                                      中微公司                董事            关联方
                                湖北鑫铧股权投资管理有
                                                              董事            关联方
                                        限公司

                                             1-1-84
拓荆科技股份有限公司                                                    招股说明书


                                                                    其他任职单位
  姓名       公司职务        其他任职单位            任职职务
                                                                    与公司的关系
                        江苏雅克科技股份有限公
                                                       董事           关联方
                                  司
                        上海精测半导体技术有限
                                                       董事           关联方
                                  公司
                        睿励科学仪器(上海)有限
                                                       董事           关联方
                                  公司
                        杭州长川科技股份有限公
                                                       董事           关联方
                                  司
                        江苏鑫华半导体材料科技
                                                       董事           关联方
                                有限公司
                        中巨芯科技股份有限公司        副董事长        关联方

                               北方华创                董事           关联方
                        上海硅产业集团股份有限
                                                       董事           关联方
                                公司
                        烟台德邦科技股份有限公
                                                       董事           关联方
                                  司
                        上海万业企业股份有限公
                                                       董事           关联方
                                  司
                        宁波南大光电材料有限公
                                                       董事           关联方
                                  司
                        上海半导体装备材料产业     投资决策委员会
                                                                         无
                          投资管理有限公司             委员
                                                                    公司股东国家
                               华芯投资               资深经理      集成电路基金
                                                                    的基金管理人
 杨 柳         董事
                         无锡开瑞投资有限公司         执行董事        关联方
                        安徽思翼投资管理有限公
                                                      执行董事        关联方
                                  司
                        国投创业投资管理有限公
                                                     执行总经理       关联方
                                  司
                         唐山英莱科技有限公司          董事           关联方
                        江苏长虹智能装备股份有
                                                       董事           关联方
                                限公司
                         苏州焜原光电有限公司          董事           关联方
 齐 雷         董事
                        苏州长光华芯光电技术股
                                                       董事           关联方
                              份有限公司
                         上海矽睿科技有限公司          董事           关联方

                               沈阳富创                董事           关联方
                        苏州景昱医疗器械有限公
                                                       监事              无
                                  司
                               中微公司            董事长、总经理     关联方
 尹志尧        董事
                        睿励科学仪器(上海)有限      董事长          关联方

                                      1-1-85
拓荆科技股份有限公司                                                        招股说明书


                                                                        其他任职单位
  姓名       公司职务         其他任职单位               任职职务
                                                                        与公司的关系
                                   公司

                        中微科技投资管理(上海)
                                                       董事长、总经理     关联方
                                有限公司
                        南昌中微半导体设备有限
                                                          董事长          关联方
                                    公司
                        中微半导体设备(厦门)有
                                                          执行董事        关联方
                                  限公司
                        中微惠创科技(上海)有限
                                                          执行董事        关联方
                                    公司
                        Advanced Micro-Fabrication
                        Equipment International Pte.       董事           关联方
                                    Ltd.
                        芯汇康医疗器械(上海)有
                                                          执行董事        关联方
                                  限公司
                        Advanced Micro-Fabrication
                                                           董事           关联方
                          Equipment Korea Ltd.
                             PDF Solution Inc.            独立董事        关联方

                          澜起科技股份有限公司            独立董事        关联方
                        普迪飞半导体技术(上海)
                                                          独立董事        关联方
                                有限公司
                                                       微纳电子学院院
                                 浙江大学                                    无
                                                             长
                                                       国家示范性微电
                            中国科学技术大学                                 无
                                                         子学院院长
                        芯创智(北京)微电子有限
                                                          董事长             无
                                  公司
                        灿芯创智微电子技术(北
                                                       董事长、总经理        无
 吴汉明      独立董事         京)有限公司
                        浙江创芯集成电路有限公
                                                          董事长             无
                                  司
                                 北方华创                 独立董事        关联方
                         比亚迪半导体股份有限公
                                                          独立董事           无
                                   司
                          睿力集成电路有限公司            独立董事           无
                        上海斐昱投资管理有限公         执行董事、总经
                                                                          关联方
                                  司                         理
                        上海斐君投资管理中心(有       执行事务合伙人
                                                                          关联方
                                限合伙)                   委派代表
                        合肥晟泰克汽车电子股份
 黄宏彬      独立董事                                      董事              无
                                有限公司
                        紫博蓝网络科技(北京)股
                                                           董事              无
                              份有限公司
                        浙江天正电气股份有限公
                                                           董事              无
                                  司



                                          1-1-86
拓荆科技股份有限公司                                                  招股说明书


                                                                  其他任职单位
  姓名       公司职务       其他任职单位           任职职务
                                                                  与公司的关系
                        浙江德马科技股份有限公
                                                     董事              无
                                  司
                         天合光能股份有限公司       独立董事           无
                        共青城斐君钽晟投资管理   执行事务合伙人
                                                                    关联方
                          合伙企业(有限合伙)       委派代表
                        共青城斐君铱晟投资管理   执行事务合伙人
                                                                    关联方
                          合伙企业(有限合伙)       委派代表
                        共青城斐昱丹瑄投资管理   执行事务合伙人
                                                                    关联方
                          合伙企业(有限合伙)       委派代表
                        广州黄埔斐君产业投资基   执行事务合伙人
                                                                    关联方
                        金合伙企业(有限合伙)       委派代表
                        嘉兴斐乐股权投资合伙企   执行事务合伙人
                                                                    关联方
                            业(有限合伙)           委派代表
                        嘉兴斐欣股权投资合伙企   执行事务合伙人
                                                                    关联方
                            业(有限合伙)           委派代表
                        嘉兴斐昱武胜投资管理合   执行事务合伙人
                                                                    关联方
                          伙企业(有限合伙)         委派代表
                        嘉兴斐昱永淳投资管理合   执行事务合伙人
                                                                    关联方
                          伙企业(有限合伙)         委派代表
                        嘉兴斐昱永徽投资管理合   执行事务合伙人
                                                                    关联方
                          伙企业(有限合伙)         委派代表
                        嘉兴斐昱悦柏投资管理合   执行事务合伙人
                                                                    关联方
                          伙企业(有限合伙)         委派代表
                        嘉兴永彦股权投资合伙企   执行事务合伙人
                                                                    关联方
                            业(有限合伙)           委派代表
                        宁波斐君元贝股权投资合   执行事务合伙人
                                                                    关联方
                          伙企业(有限合伙)         委派代表
                        宁波斐君元浩股权投资合   执行事务合伙人
                                                                    关联方
                          伙企业(有限合伙)         委派代表
                        宁波斐君元顺股权投资合   执行事务合伙人
                                                                    关联方
                          伙企业(有限合伙)         委派代表
                        上海斐君钡晟投资管理合   执行事务合伙人
                                                                    关联方
                          伙企业(有限合伙)         委派代表
                        上海斐君锆晟投资管理合   执行事务合伙人
                                                                    关联方
                          伙企业(有限合伙)         委派代表
                        上海斐君钴晟投资管理合   执行事务合伙人
                                                                    关联方
                          伙企业(有限合伙)         委派代表
                        上海斐君铌晟投资管理合   执行事务合伙人
                                                                    关联方
                          伙企业(有限合伙)         委派代表
                        上海斐君润泽投资管理合   执行事务合伙人
                                                                    关联方
                          伙企业(有限合伙)         委派代表
                        上海斐君锗晟投资管理合   执行事务合伙人
                                                                    关联方
                          伙企业(有限合伙)         委派代表
                        上海易宏人力资源服务有
                                                    业务总监           无
                                  限公司
 赵国庆      独立董事
                        南京迪威尔高端制造股份
                                                    独立董事           无
                                有限公司


                                     1-1-87
拓荆科技股份有限公司                                                      招股说明书


                                                                      其他任职单位
  姓名       公司职务         其他任职单位             任职职务
                                                                      与公司的关系
                            希诺股份有限公司            独立董事           无
                         浙江峻和科技股份有限公
                                                        独立董事           无
                                   司
                                                                      公司股东国家
                                华芯投资             风险管理部经理   集成电路基金
                                                                      的基金管理人
                                                                      报告期内曾存
                                 中科仪                  监事
                                                                        在的关联方
                                北方华创                 监事           关联方
 郭 郢         监事
                        杭州长川科技股份有限公
                                                         监事           关联方
                                     司
                        烟台德邦科技股份有限公
                                                         监事           关联方
                                     司
                        北京芯动能投资管理有限
                                                         监事              无
                                    公司
                        国投创业投资管理有限公
                                                        投资总监        关联方
                                     司
                        金瑞泓微电子(衢州)有限
                                                         董事           关联方
                                    公司
                        厦门云天半导体科技有限
 曹 阳         监事                                      董事           关联方
                                    公司
                        浙江金瑞泓科技股份有限
                                                         董事           关联方
                                    公司
                        砺铸智能设备(天津)有限
                                                         监事              无
                                    公司
                        海口恒众信息科技合伙企
                                                     执行事务合伙人     关联方
                              业(有限合伙)
                          Oriental Capital Company   执行董事、总经
                                                                        关联方
                                   Limited                 理
 许荣伟        监事
                        长三角动力电源盐城有限
                                                         董事           关联方
                                    公司
                        江苏立霸实业股份有限公
                                                         监事              无
                                     司
                                  沈阳创投              总经理          关联方
                                                                      公司股东沈阳
                         沈阳信息产业创业投资管
                                                        副总经理      创投的基金管
                               理有限公司
                                                                      理人;关联方
                         沈阳麦克奥迪能源科技有
                                                         董事           关联方
                                 限公司
 苑 雪         监事      辽宁维顶智能科技有限公
                                                         董事           关联方
                                   司
                         沈阳维顶机器人有限公司          董事           关联方
                         沈阳东宝海星金属材料科
                                                         董事           关联方
                               技有限公司
                                                                      报告期内曾存
                          沈阳硅基科技有限公司         监事会主席
                                                                        在的关联方

                                       1-1-88
拓荆科技股份有限公司                                              招股说明书


                                                              其他任职单位
  姓名       公司职务     其他任职单位         任职职务
                                                              与公司的关系
                             芯鑫和          执行事务合伙人     关联方

                             芯鑫龙          执行事务合伙人     关联方

            副总经理、       芯鑫阳          执行事务合伙人     关联方
 刘 静      财务负责
                人          沈阳盛腾         执行事务合伙人     关联方

                            沈阳盛全         执行事务合伙人     关联方

                            海宁展阳         执行事务合伙人     关联方

                             芯鑫全          执行事务合伙人     关联方

                             芯鑫成          执行事务合伙人     关联方

                             芯鑫旺          执行事务合伙人     关联方

 孙丽杰      副总经理        芯鑫盛          执行事务合伙人     关联方

                            沈阳盛旺         执行事务合伙人     关联方

                            沈阳盛龙         执行事务合伙人     关联方

                            海宁展博         执行事务合伙人     关联方

     截至 2021 年 12 月 31 日,除上述人员外,公司其他董事、监事、高级管理
人员及核心技术人员未有在其他单位担任职务的情况。

     (三)董事、监事、高级管理人员及核心技术人员相互之间存在的亲属关系

     截至本招股说明书签署日,公司董事、监事、高级管理人员及核心技术人员
之间不存在亲属关系。

十二、发行人与董事、监事、高级管理人员及核心技术人员签署协议
及履行情况

     截至本招股说明书签署日,发行人与在公司任职的董事、监事、高级管理人
员及核心技术人员分别签订了《专家聘用协议》或《劳动合同》、《员工保密合
同》、《竞业限制协议》或《竞业禁止承诺书》,与独立董事签订了《独立董事
聘任合同书》。截至本招股说明书签署日,前述相关协议都得到了有效执行。

     除上述情形外,报告期内,公司不存在与董事、监事、高级管理人员及核心
技术人员签订对投资者作出价值判断和投资决策有重大影响协议的情形。



                                  1-1-89
拓荆科技股份有限公司                                                招股说明书


十三、发行人董事、监事、高级管理人员及核心技术人员变动情况

     (一)最近两年内董事变动情况

     2019 年 1 月 1 日,拓荆有限董事会成员为姜谦、杨征帆、杨璐、齐雷、杜
志游、李昌龙、王海涛、苏庆祥、吕光泉 9 人,其中姜谦为董事长。

     2019 年 4 月 3 日,大连港航将其持有的全部拓荆有限的股份转让给中微公
司,大连港航原委派董事王海涛不再担任董事。2019 年 11 月 21 日,沈阳创投
原委派董事苏庆祥变更为苑雪。

     2021 年 1 月 8 日,发行人召开创立大会暨 2021 年第一次临时股东大会,选
举吕光泉、姜谦、杨征帆、王梁、齐雷、尹志尧、吴汉明、黄宏彬、赵国庆等 9
人担任董事。其中,吴汉明、黄宏彬、赵国庆为独立董事。

     2021 年 11 月 24 日,王梁因个人原因辞去公司董事职务;2021 年 12 月 9 日,
发行人股东大会选举杨柳为新任董事,任期至 2024 年 1 月 7 日。

     最近两年,公司董事变动系因股东变动及股东委派董事发生变化,或建立独
立董事制度等原因,发行人董事会构成、董事人选虽发生变更,但未对公司造成
重大不利影响。

     (二)最近两年内监事变动情况

     2019 年 1 月 1 日,拓荆有限监事会成员为陈伟文、吕玉梅、曹阳、张素梅、
刘忠武 5 人。其中,陈伟文为监事会主席,张素梅、刘忠武为职工代表监事。

     2020 年 12 月 11 日,拓荆有限职工代表大会选举叶五毛、刘忠武为公司职
工代表监事。2021 年 1 月 8 日,发行人召开创立大会暨 2021 年第一次临时股东
大会,选举余峰、曹阳、许荣伟和苑雪为股份公司非职工代表监事。

     2021 年 4 月 8 日,余峰因个人原因辞去公司监事职务;2021 年 4 月 30 日,
发行人股东大会选举郭郢为新任监事,任期至 2024 年 1 月 7 日。

     (三)最近两年内高级管理人员变动情况

     2019 年 1 月 1 日,拓荆有限高级管理人员包括总经理吕光泉、副总经理田
晓明、张孝勇、吴飚、周仁、孙丽杰,以及副总经理兼财务负责人刘静 7 人。


                                    1-1-90
拓荆科技股份有限公司                                                  招股说明书


     2020 年 5 月 29 日,拓荆有限董事会同意聘用周坚为副总经理,同意吴飚、
周仁辞去副总经理职务。

     2021 年 1 月 8 日,发行人董事会同意聘任田晓明为总经理,张孝勇、周坚、
孙丽杰为副总经理,刘静为副总经理兼财务负责人,赵曦为董事会秘书。

     报告期内,发行人高级管理人员吴飚、周仁离职。吴飚于 2014 年 5 月至 2020
年 5 月担任公司副总经理,前期主要负责公司制造部门相关工作,后期主要负责
市场开发及市场调研等工作。周仁于 2014 年 9 月至 2020 年 5 月担任公司副总经
理,前期主要负责工程部门相关工作,后期负责公司知识产权管理、质量管理等
工作。

     最近两年,除吴飚、周仁离职及发行人为满足业务发展需要、完善公司治理
增聘高级管理人员外,发行人高级管理人员保持稳定,未发生重大不利变化。

     (四)最近两年内核心技术人员变动情况

     发行人综合考虑任职情况、教育背景、工作履历、技术经验、主要知识产权、
科研成果、研发统筹能力等情况认定核心技术人员。2021 年 3 月,发行人董事
会将姜谦、吕光泉、田晓明、张孝勇、周坚、叶五毛、宁建平 7 人认定为核心技
术人员。

     最近两年内,发行人核心技术人员均在公司任职,未发生重大变化。

十四、董事、监事、高级管理人员及核心技术人员与发行人及其业务
相关的对外投资情况

     截至本招股说明书签署日,发行人董事、监事、高级管理人员及核心技术人
员与发行人及其业务相关的对外投资情况如下:

                                                                 通过员工持股平
                         对外投资        出资金额/      出资
 姓名       公司职务                                             台间接持有的拓
                         单位名称        持股数量       比例
                                                                 荆股份数(股)

          董事长、核心    芯鑫龙         69,300.00 元   45.64%           630,000
吕光泉
            技术人员     沈阳盛腾        27,750.00 元   3.12%             25,000

          董事、核心技    芯鑫盛         97,229.00 元   64.06%           883,900
姜 谦
            术人员       沈阳盛腾        44,400.00 元   4.99%             40,000


                                    1-1-91
拓荆科技股份有限公司                                                              招股说明书


                                                                             通过员工持股平
                            对外投资              出资金额/         出资
 姓名       公司职务                                                         台间接持有的拓
                            单位名称              持股数量          比例
                                                                             荆股份数(股)
                            沈阳盛旺              66,600.00 元      3.23%             60,000
                         屹新(上海)企
齐 雷         董事       业管理中心(有          200,000.00 元      1.33%                 -
                           限合伙)
                            中微公司              6,200,266 元      1.01%                 -

尹志尧        董事       Grenade Pte. Ltd.            174,277 股        -                -

                         Futago Pte. Ltd.               6,875 股        -                -

          监事会主席、       芯鑫成               36,300.00 元      23.91%           330,000
叶五毛    核心技术人
              员            沈阳盛腾              44,400.00 元      4.99%             40,000

                             芯鑫成               12,287.00 元      8.09%            111,700
           职工代表监
刘忠武                      沈阳盛旺             234,190.00 元      11.37%            49,000
               事
                            沈阳盛腾                  3,330.00 元   0.38%              3,000

          总经理、核心       芯鑫全               44,000.00 元      28.97%           400,000
田晓明
            技术人员        沈阳盛腾             111,000.00 元      12.48%           100,000

          副总经理、核       芯鑫成               84,150.00 元      55.43%           765,000
张孝勇
          心技术人员        沈阳盛腾             155,400.00 元      17.48%           140,000

          副总经理、核       芯鑫龙               27,500.00 元      18.11%           250,000
周 坚
          心技术人员        沈阳盛旺             404,000.00 元      19.61%            40,000

                            海宁展阳                  4,900.00 元   98.00%                -

                             芯鑫全               33,110.00 元      21.80%           301,000

                             芯鑫阳               30,183.00 元      19.91%           274,386

          副总经理、财       芯鑫龙                     14.00 元    0.01%               126
刘 静
            务负责人         芯鑫和                     14.00 元    0.01%               126

                            沈阳盛旺             557,840.00 元      27.07%            94,000

                            沈阳盛全              11,000.00 元      3.95%                 -

                            沈阳盛腾              14,219.00 元      1.60%              2,900

                            海宁展博                  4,900.00 元   98.00%                -

孙丽杰      副总经理         芯鑫和               39,963.00 元      26.31%           363,300

                             芯鑫旺               13,984.00 元      9.21%            127,126


                                             1-1-92
拓荆科技股份有限公司                                                        招股说明书


                                                                       通过员工持股平
                           对外投资         出资金额/         出资
 姓名       公司职务                                                   台间接持有的拓
                           单位名称         持股数量          比例
                                                                       荆股份数(股)
                            芯鑫全                14.00 元    0.01%               126

                            芯鑫成                14.00 元    0.01%               125

                            芯鑫盛                14.00 元    0.01%               125

                           沈阳盛腾         90,687.00 元      10.20%            81,700

                           沈阳盛龙         22,189.00 元      10.96%            10,080

                           沈阳盛旺         11,000.00 元      0.53%                 -

赵 曦      董事会秘书       芯鑫盛              6,600.00 元   4.35%             60,000

                            芯鑫龙          29,219.00 元      19.24%           265,630
            核心技术
宁建平                     沈阳盛旺        309,915.00 元      15.04%            36,230
              人员
                           沈阳盛全         18,870.00 元      6.77%             17,000
注:发行人副总经理、财务负责人刘静在沈阳盛全和沈阳盛腾的各 1.10 万元出资额、在沈
阳盛旺的 4,000 元出资额,以及发行人副总经理孙丽杰在沈阳盛龙、沈阳盛旺的各 1.10 万元
出资额,为合伙企业管理费,根据合伙企业约定不享有权益。

     吕光泉等公司部分董事、监事、高级管理人员及核心技术人员通过员工持股
平台间接持有发行人股份。董事齐雷持有屹新(上海)企业管理中心(有限合伙)
份额,并因此间接持有发行人股东国投上海的部分份额,进而间接持有发行人股
份。董事尹志尧持有发行人股东中微公司股份,进而间接持有发行人股份。

     截至本招股说明书签署日,除上述情况外,发行人董事、监事、高级管理人
员及核心技术人员不存在其他与发行人及其业务相关的对外投资,上述人员的其
他对外投资与发行人不存在利益冲突情形。

十五、发行人董事、监事、高级管理人员、核心技术人员及其近亲属
持股情况

     截至本招股说明书签署日,发行人董事、监事、高级管理人员、核心技术人
员及其近亲属直接或间接持有发行人股份情况如下:

                                                         合计持股数
               职务     直接持股   间接持股   合计持股                      质押股数
  姓名                                                   量占发行前
            /亲属关系   数量(股) 数量(股) 数量(股)                    (股)
                                                           股本比例
           董事长、核
吕光泉                    500,000     655,000     1,155,000       1.2176%      630,000
           心技术人员



                                       1-1-93
拓荆科技股份有限公司                                                        招股说明书


                                                         合计持股数
               职务     直接持股   间接持股   合计持股                      质押股数
  姓名                                                   量占发行前
            /亲属关系   数量(股) 数量(股) 数量(股)                    (股)
                                                           股本比例
           董事、核心
姜 谦                    1,234,290    983,900    2,218,190       2.3384%      883,900
           技术人员
齐 雷          董事            -         446         446        0.0005%            -

尹志尧         董事            -      110,868     110,868       0.1169%            -
           监事会主
叶五毛     席、核心技          -     370,000      370,000       0.3901%      330,000
             术人员
           职工代表监
刘忠武                         -     163,700      163,700       0.1726%      111,700
               事
           总经理、核
田晓明                         -     500,000      500,000       0.5271%      400,000
           心技术人员
           副总经理、
张孝勇     核心技术人     150,000     905,000    1,055,000       1.1122%      765,000
               员
           副总经理、
周 坚      核心技术人          -     290,000      290,000       0.3057%      250,000
               员
           副总经理、
刘 静                          -     672,538      672,538       0.7090%      575,638
           财务负责人
孙丽杰      副总经理           -     582,582      582,582       0.6142%      490,802

赵 曦      董事会秘书          -       60,000      60,000       0.0633%       60,000
           核心技术人
宁建平                          -     318,860    318,860       0.3361%         265,630
               员
注:①截至 2022 年 3 月 8 日,董事齐雷通过屹新(上海)企业管理中心(有限合伙)间接
持有发行人股东国投上海的部分份额,并因此间接持有发行人约 446 股股份。②截至 2021
年 9 月 30 日,中微公司总股本为 615,091,572 元,尹志尧直接持有中微公司 6,200,266 股股
份,约占总股本的 1.0080%;此外,尹志尧通过 Grenade Pte. Ltd.、Futago Pte. Ltd.间接持有
中微公司约 0.0357%股份;经计算,尹志尧合计持有发行人股东中微公司约 1.0437%的股份,
并因此间接持有发行人约 110,868 股股份。
     部分公司董事、监事、高级管理人员及核心技术人员通过 7 个共青城员工持
股平台间接持有公司股份,存在股权质押情况,质押股数详见上表。

十六、发行人董事、监事、高级管理人员及核心技术人员的薪酬情况

     (一)发行人董事、监事、高级管理人员及核心技术人员的薪酬组成、确认
依据及所履行的程序

     在公司担任具体管理职务的非独立董事,以及职工代表监事、高级管理人员、
核心技术人员自发行人处领取的薪金包括基本工资、个人绩效工资和年终奖金;
独立董事领取固定津贴;未在公司担任具体管理职务的非独立董事,以及非职工

                                        1-1-94
拓荆科技股份有限公司                                                             招股说明书


代表监事不在公司领取报酬。

       公司董事会下设薪酬与考核委员会,主要负责制订公司董事及高级管理人员
的薪酬政策和考核标准,人力资源部门负责薪酬与考核委员会决策前的准备工
作。薪酬与考核委员会提出的公司董事薪酬计划,报经董事会同意后,提交股东
大会审议通过后方可实施;公司高级管理人员的薪酬分配方案须报董事会批准。

       (二)发行人董事、监事、高级管理人员及核心技术人员的薪酬领取情况

       1、报告期内董事、监事、高级管理人员及核心技术人员的薪酬总额情况

       报告期内,现任董事、监事、高级管理人员及核心技术人员自发行人领取薪
酬情况如下:

                                                                                单位:万元
          项目         2021 年 1-9 月     2020 年度            2019 年度        2018 年度
现任董监高及核心技术
                              696.66             1,350.10            980.84          884.00
    人员总体薪酬
        利润总额             5,738.27        -1,169.99            -1,936.64      -10,322.29

          占比                12.14%                    -                  -                -

       2、最近一年董事、监事、高级管理人员及核心技术人员领取收入情况

       公司现任董事、监事、高级管理人员及核心技术人员,2020 年薪酬情况如
下表所示:

                                                                                单位:万元
序号       姓名                 职位                        2020 年从发行人处领取薪酬情况

 1        吕光泉       董事长、核心技术人员                            219.14

 2        姜     谦     董事、核心技术人员                              59.34

 3        杨征帆                董事                                       -

 4        杨     柳             董事                                       -

 5        齐     雷             董事                                       -

 6        尹志尧                董事                                       -

 7        吴汉明              独立董事                                     -

 8        黄宏彬              独立董事                                     -

 9        赵国庆              独立董事                                     -


                                        1-1-95
拓荆科技股份有限公司                                                    招股说明书



序号       姓名                  职位             2020 年从发行人处领取薪酬情况

 10       叶五毛       监事会主席、核心技术人员               173.22

 11       郭   郢                监事                            -

 12       曹   阳                监事                            -

 13       许荣伟                 监事                            -

 14       苑   雪                监事                            -

 15       刘忠武              职工代表监事                     37.59

 16       田晓明         总经理、核心技术人员                 241.78

 17       张孝勇        副总经理、核心技术人员                190.78

 18       周   坚       副总经理、核心技术人员                197.54

 19       刘   静        副总经理、财务负责人                  64.32

 20       孙丽杰                副总经理                       64.26

 21       赵   曦              董事会秘书                      62.00

 22       宁建平              核心技术人员                     40.13

                       合计                                  1,350.10
注:独立董事吴汉明、黄宏彬、赵国庆 2020 年尚未从发行人处领取薪酬。
       除以上所列收入外,2020 年度在发行人处任职并领取薪酬的现任董事、监
事、高级管理人员及核心技术人员未在发行人关联方处取得收入,也未享受其他
待遇和退休金计划。

十七、发行人已执行的股权激励及其他制度安排和执行情况

       (一)股权激励及相关安排

       截至本招股说明书签署日,发行人不存在已经制定、上市后实施的期权激励
计划,存在上市前已经执行完毕的股权激励。

       1、发行人员工股权激励及相关安排

       (1)发行人员工股权激励的基本情况

       发行人本次发行上市前已实施的员工股权激励中,吕光泉等七名外籍专家直
接持股并通过员工持股平台间接持股,姜谦及其余员工通过员工持股平台间接持
有发行人激励股份;合计持有发行人 15.1920%的股份。


                                        1-1-96
拓荆科技股份有限公司                                                           招股说明书


       截至本招股说明书签署日,公司八名外籍专家获得股权激励及在公司任职情
况如下:

                                       直接持股股数       间接持股股数     合计持股股数
序号      姓名           公司职务
                                         (股)             (股)             (股)
 1       吕光泉          现任董事长          500,000            655,000         1,155,000

 2       姜   谦          现任董事                    -         883,900          883,900

 3       刘忆军         曾任副总经理         280,000            140,000          420,000

 4       凌复华         曾任副总经理         255,000            150,000          405,000

 5       吴   飚        曾任副总经理         175,000            175,000          350,000

 6       周   仁        曾任副总经理         175,000            175,000          350,000

 7       张先智         现任公司顾问         160,000            345,000          505,000

 8       张孝勇         现任副总经理         150,000            905,000         1,055,000

                 合计                      1,695,000           3,428,900        5,123,900

       截至本招股说明书签署日,发行人 11 个员工持股平台合计持有发行人
11,481,700 股股份,占发行前股份的 12.1040%。

       (2)发行人员工股权激励的制度安排

       自 2010 年拓荆有限成立至 2020 年 4 月拓荆有限董事会审议通过《沈阳拓荆
科技有限公司员工持股计划》之前,拓荆有限对于员工股权激励按照《沈阳拓荆
科技有限公司股权激励管理办法》及其补充条款执行。

       2020 年 4 月,拓荆有限董事会审议通过了《沈阳拓荆科技有限公司员工持
股计划》(以下简称《员工持股计划》),适用于芯鑫和等 11 个员工持股平台。
《员工持股计划》规定公司设立员工持股管理委员会,由员工持股管理委员会依
据该计划行使员工获授份额管理等相关职权。员工持股管理委员会的人数及成员
构成由公司总经理确定。

       2、发行人持股员工的股份锁定期

       (1)直接持股股东的锁定期

       详见本招股说明书“第十节 投资者保护”之“五、重要承诺”之“(一)
本次发行前股东所持股份的限售安排、自愿锁定股份、延长锁定期限的承诺”部
分。

                                           1-1-97
拓荆科技股份有限公司                                                    招股说明书


     (2)所持员工持股平台份额的管理

     芯鑫和等 11 个员工持股平台合伙协议约定,合伙所持发行人股份锁定期内,
员工持股平台的合伙人只能向合伙企业内部的发行人员工或符合规定条件的发
行人员工转让其财产份额,符合《上海证券交易所科创板股票发行上市审核问答》
问题 11 关于“闭环原则”的规定。

     公司持股平台合伙协议均未约定服务期,股权激励管理办法中均未约定服务
期,《员工持股计划》中的锁定期条款主要系根据闭环原则的要求针对持股平台
所具体制定,设置该等条款的目的只是为了保持员工团队的稳定。员工离职股份
是否回收不与公司上市挂钩,离职员工所持股份经批准后可以继续持有、全部转
让或部分继续持有,股权激励管理办法中的锁定期条款不构成实质上的服务期。

     3、对参与持股的员工离职所持股份的处理安排及执行情况

     直接持有发行人股份的公司员工离职不影响其对公司股份的持有。对于间接
持股的离职职工,具体安排如下:

离职原因                            离职时的股权处理方式
            2020 年 4 月拓荆有限制定《员工持股计划》之前,离职员工可自愿选择继续持
因个人原    有股权,或转让给符合条件的员工。
因解除劳    《员工持股计划》制定后,离职员工应当转让其所持部分或全部获授份额给符
动合同      合条件的员工。若公司知悉离职员工从事与公司或其子公司相同或类似的业务,
            公司有权收回该离职员工的全部股权。
退休或丧
            持股对象可继续持有获授份额,但若此后未遵守与公司签订的保密协议或竞业
失劳动能
            限制协议的要求,则应将份额转让给符合条件的员工。
力
死亡或宣    此前指定的唯一法定继承人/受遗赠人可在管理委员会同意的情况下选择继续持
告死亡      有获授份额或出售给符合条件的员工。

     上表中符合条件的员工为公司或管理委员会指定的符合本计划规定条件的
公司员工,离职员工的股权转让价格为成本价格或由员工与公司协商确定。

     报告期内,公司收回离职人员间接所持公司股份共计 1,326,020 份,收回后
全部重新授予给其他符合条件的员工,属于授予后立即可行权的股权激励。公司
根据授予日权益工具公允价值与激励对象行权成本之差及股权激励份额确认一
次性股份支付费用,并计入相应年度的非经常性损益。

     截至本招股说明书签署日,前述关于离职员工持有发行人股份的安排得到了
有效执行,离职员工与发行人及其他相关方之间不存在纠纷或潜在纠纷。

                                      1-1-98
拓荆科技股份有限公司                                                     招股说明书


     (二)股权激励对发行人的影响

     1、对发行人经营状况的影响

     发行人股权激励覆盖面大,且重点向研发技术人员、核心管理人员倾斜,符
合半导体行业的行业特征。通过股权激励,发行人建立健全了长效激励机制,充
分调动了高级管理人员与骨干员工的工作积极性,提高了公司的凝聚力,增强了
公司竞争力。

     2、对发行人财务状况的影响

     为公允地反映股权激励对公司财务状况的影响,发行人已就上述股权激励确
认了股份支付。报告期内,发行人确认的股份支付金额分别为 192.96 万元、198.25
万元、692.92 万元和 72.76 万元,未对公司财务状况造成重大影响。

     3、对发行人控制权变化的影响

     股权激励实施前后,不影响发行人控制权的认定。

十八、发行人员工情况

     (一)员工人数及构成

     1、员工人数及变化情况

     报告期内,发行人及子公司员工人数和变化情况如下:

      日期             2021.9.30   2020.12.31      2019.12.31       2018.12.31

  人数(人)             429          316             273                278
注:报告期各期末发行人员工不包括劳务派遣人员、兼职人员、实习生。
     2、员工专业结构

     截至 2021 年 9 月 30 日,发行人及子公司共有员工 429 人,各类员工专业结
构如下:

             类别                  人数(人)               占总人数比例

        技术研发人员                  189                       44.06%

        技术支持人员                  129                       30.07%

        行政管理人员                   36                       8.39%


                                     1-1-99
拓荆科技股份有限公司                                                招股说明书



            类别                人数(人)              占总人数比例

          财务人员                  10                     2.33%

          生产人员                  41                     9.56%

          采购人员                  14                     3.26%

          销售人员                  10                     2.33%

            合计                   429                    100.00%

     3、员工受教育程度

     截至 2021 年 9 月 30 日,发行人及子公司各类员工受教育程度如下:

            类别                人数(人)              占总人数比例

  硕士研究生及以上学历             135                     31.47%

         本科学历                  201                     46.85%

         大专学历                   85                     19.81%

       大专以下学历                 8                      1.86%

            合计                   429                    100.00%

     4、员工年龄分布

     截至 2021 年 9 月 30 日,发行人及子公司各类员工年龄分布如下:

            类别                人数(人)              占总人数比例

         51 岁以上                  16                     3.73%

     41 至 50 岁(含)              41                     9.56%

         31 至 40 岁               166                     38.69%

      30 岁(含)以下              206                     48.02%

            合计                   429                    100.00%

     (二)社会保障及福利情况

     1、发行人的劳动合同制度

     发行人实行劳动合同制,按照《劳动法》《劳动合同法》等有关规定与员工
签订劳动合同或聘用协议,享受权利并承担义务。




                                  1-1-100
拓荆科技股份有限公司                                                                      招股说明书


     2、发行人社会保险、住房公积金缴纳情况

     报告期内,发行人员工缴纳社会保险和住房公积金的具体情况如下:
                                                                                     单位:人/%
                         2021.9.30           2020.12.31         2019.12.31          2018.12.31
      缴纳情况
                       人数      占比      人数       占比     人数       占比     人数        占比

实缴员工                 414      96.50      295       93.35    259        94.87    264         94.96
其中:第三方代缴社
                          66      15.38       46       14.56     41        15.02     33         11.87
保和公积金员工
未缴纳员工                15        3.50      21        6.65     14         5.13     14          5.04

其中:新入职员工             3      0.70       8        2.53      0         0.00      0          0.00

中国台湾籍员工               1      0.23       1        0.32      2         0.73      2          0.72

外籍员工                     9      2.10      11        3.48     10         3.66     10          3.60

退休返聘员工                 2      0.47       1        0.32      2         0.73      2          0.72

        合计             429     100.00      316      100.00    273       100.00    278        100.00

     报告期各期末,除少量中国台湾籍、外籍员工自愿放弃缴纳社会保险和住房
公积金外,发行人不存在应为员工缴纳社会保险和住房公积金而未缴的情况。此
外,报告期内发行人在部分地区未设分支机构,因开展业务需要,部分员工的社
会保险及住房公积金由发行人委托第三方人力资源服务机构代为缴纳。

     报告期内,发行人没有因违反社会保险法律法规及住房公积金法律法规而被
社会保险主管部门和住房公积金主管部门处罚的情形。

     3、发行人劳务派遣情况

     由于在台湾地区开展业务的需要,发行人与台湾怡东人事顾问股份有限公司
签订了《人才派遣服务契约》,由台湾怡东人事顾问股份有限公司派遣员工为发
行人提供劳务派遣服务。报告期各期末,发行人劳务派遣人数如下:

     项目          2021 年 9 月末          2020 年末           2019 年末            2018 年末
 劳务派遣人数
                         6                    6                       4                    1
   (人)




                                            1-1-101
拓荆科技股份有限公司                                           招股说明书



                           第六节 业务与技术


一、发行人主营业务、主要产品的基本情况

     (一)主营业务、主要产品及收入构成

     1、主营业务基本情况

     拓荆科技主要从事高端半导体专用设备的研发、生产、销售和技术服务。公
司聚焦的半导体薄膜沉积设备与光刻机、刻蚀机共同构成芯片制造三大主设备。
公司主要产品包括等离子体增强化学气相沉积(PECVD)设备、原子层沉积
(ALD)设备和次常压化学气相沉积(SACVD)设备三个产品系列,已广泛应
用于国内晶圆厂 14nm 及以上制程集成电路制造产线,并已展开 10nm 及以下制
程产品验证测试。

     公司产品已广泛用于中芯国际、华虹集团、长江存储、长鑫存储、厦门联芯、
燕东微电子等国内主流晶圆厂产线,打破国际厂商对国内市场的垄断,与国际寡
头直接竞争。报告期内,公司在研产品已发往某国际领先晶圆厂参与其先进制程
工艺研发。

     公司的产品已适配国内最先进的 28/14nm 逻辑芯片、19/17nm DRAM 芯片和
64/128 层 3D NAND FLASH 晶圆制造产线。其中,PECVD 设备已全面覆盖逻辑
电路、DRAM 存储、FLASH 闪存集成电路制造各技术节点产线多种通用介质材
料薄膜沉积工序,并研发了 LokⅠ、LokⅡ、ACHM、ADCⅠ等先进介质材料工
艺,拓宽公司 PECVD 产品在晶圆制造产线薄膜沉积工序的应用。

     2、主要产品

     公司主要产品半导体薄膜沉积设备包括 PECVD 设备、ALD 设备及 SACVD
设备三个系列。薄膜沉积设备作为集成电路晶圆制造的核心设备,沉积的薄膜是
芯片电路中的功能材料层。

     薄膜沉积是芯片制造的核心工艺环节。薄膜沉积技术是以各类适当化学反应
源在外加能量(包括热、光、等离子体等)的驱动下激活,将由此形成的原子、
离子、活性反应基团等在衬底表面进行吸附,并在适当的位置发生化学反应或聚


                                 1-1-102
拓荆科技股份有限公司                                            招股说明书


结,渐渐形成几纳米至几微米不等厚度的金属、介质、或半导体材料薄膜。芯片
是微型结构体,其内部结构是 3D 立体式形态,衬底之上的微米或纳米级薄膜构
成了制作电路的功能材料层。

     薄膜沉积设备设计制造技术难度大,产业化验证周期长。由于薄膜是芯片结
构的功能材料层,在芯片完成制造、封测等工序后会留存在芯片中,薄膜的技术
参数直接影响芯片性能。生产中不仅需要在成膜后检测薄膜厚度、均匀性、光学
系数、机械应力及颗粒度等性能指标,还需要在完成晶圆生产流程及芯片封装后,
对最终芯片产品进行可靠性和生命周期测试,以衡量薄膜沉积设备是否最终满足
技术标准。因此,晶圆厂对薄膜沉积设备所需要的验证时间较长。

     随着集成电路制造不断向更先进工艺发展,单位面积集成的电路规模不断扩
大,芯片内部立体结构日趋复杂,所需要的薄膜层数越来越多,对绝缘介质薄膜、
导电金属薄膜的材料种类和性能参数不断提出新的要求。在 90nm CMOS 工艺,
大约需要 40 道薄膜沉积工序。在 3nm FinFET 工艺产线,超过 100 道薄膜沉积工
序,涉及的薄膜材料由 6 种增加到近 20 种,对于薄膜颗粒的要求也由微米级提
高到纳米级。薄膜设备的发展支撑了集成电路制造工艺向更小制程发展。公司产
品可以满足下游集成电路制造客户产线对于不同材料、不同芯片结构薄膜沉积工
序的设备需求。

     (1)PECVD 设备

     PECVD 设备系公司核心产品,是芯片制造的核心设备之一。主要功能是在
将硅片控制到预定温度后,使用射频电磁波作为能量源在硅片上方形成低温等离
子体,通入适当的化学气体,在等离子体的激活下,经一系列化学反应在硅片表
面形成固态薄膜。相比传统的 CVD 设备,PECVD 设备在相对较低的反应温度下
形成高致密度、高性能薄膜,不破坏已有薄膜和已形成的底层电路,实现更快的
薄膜沉积速度,是芯片制造薄膜沉积工艺中运用最广泛的设备种类。




                                 1-1-103
拓荆科技股份有限公司                                                   招股说明书




     公司是国内唯一一家产业化应用的集成电路 PECVD 设备厂商,已配适
180-14nm 逻辑芯片、19/17nm DRAM 及 64/128 层 FLASH 制造工艺需求,产品
能够兼容 SiO2、SiN、SiON、BPSG、PSG、TEOS、LokⅠ、LokⅡ、ACHM、
ADCⅠ等多种反应材料。公司已于 2018 年向某国际领先晶圆厂发货一台 PECVD
设备用于其先进逻辑芯片制造研发产线,2020 年该厂向公司增订了一台 PECVD
设备用于其上述先进制程试产线。

     公司 PECVD 设备介绍具体如下:

                                                                              研发/
  产品型号             产品图片                   应用领域                    生产
                                                                              阶段
                                  PF-300T型号主要应用于28nm以上逻辑芯片
                                  及FLASH、DRAM存储芯片制造,TSV封装          产业
12英寸PECVD
                                  和OLED制造领域。可以沉积SiO2 、SiN、        化
 设备PF-300T
                                  SiON、BPSG、PSG、TEOS、LokⅠ、LokⅡ、       应用
                                  ACHM、ADC Ⅰ等介质材料薄膜。
                                  PF-300T eX设备型号主要应用于14nm-28nm
12英寸PECVD                       逻辑芯片及FLASH、DRAM存储芯片制造。         产业
 设备PF-300T                      可以沉积SiO2、SiN、SiON、BPSG、PSG、        化
      eX                          TEOS、LokⅠ、LokⅡ、ACHM、ADCⅠ等           应用
                                  介质材料薄膜。
12英寸PECVD                       PF-300T pX设备型号主要应用于10nm以下
                                                                              研发
 设备PF-300T                      逻辑芯片制造。可以沉积通用介质材料薄膜
                                                                              中
      pX                          及先进介质材料薄膜。
                                  PF-200T系列设备主要应用于90nm以上集成
                                  电路前道工艺及3D TSV先进封装环节。可以      产业
8英寸PECVD
                                  沉积SiO2、SiN、SiON、TEOS等介质材料薄       化
设备PF-200T
                                  膜。此设备可实现与12英寸PECVD设备兼         应用
                                  容,具有高产能,低生产成本优势。
                                  NF-300H 系列设 备主 要应用 于存 储芯片 制
                                  造,目前可适用于32-128层3D NAND FLASH
12英寸 HTM                        芯片、19nm以下DRAM芯片制造。NF-300H         产业
PECVD设备                         设备成功突破了存储芯片制造工艺的颗粒        化
  NF-300H                         度、均匀性、应力及产能是四大关键技术挑      验证
                                  战。可以沉积NO stack、Thick TEOS等介质
                                  材料薄膜。


                                   1-1-104
拓荆科技股份有限公司                                                  招股说明书


                                                                            研发/
 产品型号              产品图片                   应用领域                  生产
                                                                            阶段
                                   TFLITE系列设备主要应用于LED芯片制造
                                                                            产业
                                   领域,该设备通过反应腔、沉积站的优化设
  TFLITE                                                                    化
                                   计,具有高产能、高安全性优势。可以沉积
                                                                            验证
                                   SiO2、SiN材料薄膜。
注:产业化,依据国家科技重大专项《任务合同书》,指设备已在晶圆制造产线验证及实现
销售。

     (2)ALD 设备

     ALD 设备是一种可以将反应材料以单原子膜形式通过循环反应逐层沉积在
基片表面,形成对复杂形貌的基底表面全覆盖成膜的专用设备。由于 ALD 设备
可以实现高深宽比、极窄沟槽开口的优异台阶覆盖率及精确薄膜厚度控制,实现
了芯片制造工艺中关键尺寸的精度控制,在结构复杂、薄膜厚度要求精准的先进
逻辑芯片、DRAM 和 3D NAND 制造中,ALD 是必不可少的核心设备之一。ALD
设备主要分为 PE-ALD 和 Thermal ALD。




     拓荆科技是国内领先的集成电路 ALD 设备厂商。公司的等离子体增强原子
层沉积设备(PE-ALD),在公司 PECVD 设备核心技术的基础上,根据 ALD 反
应原理,结合理论分析及仿真计算,对反应腔内的气路、关键件、喷淋头等进行
创新设计公司的 ALD 设备可以沉积 SiO2 和 SiN 材料薄膜,目前已适配 55-14nm
逻辑芯片制造工艺需求。

     在 PE-ALD 设备成功量产基础上,为满足 28nm 以下芯片制造所需的 Al2O3、
AlN 等金属化合物薄膜的工艺需要,公司正在研发 Thermal ALD 设备。

     公司 ALD 设备介绍具体如下:




                                    1-1-105
拓荆科技股份有限公司                                                     招股说明书


                                                                         研发/生产
 产品型号              产品图片                 应用领域
                                                                           阶段
                                  FT-300T(PE)系列设备主要应用于逻辑
                                  芯片28-14nm纳米SADP、STI Liner工艺,
  12英寸                          55-40nm BSI工艺的晶圆制造、2.5D、3D
                                                                         产业化应
PEALD设备                         TSV先进封装领域。FT-300T具有优异的
                                                                           用
 FT-300T                          薄膜均匀性和保形性,特别适合高深宽比
                                  晶圆孔洞的薄膜沉积。可以沉积SiO2和
                                  SiN介质材料薄膜。
                                  FT-300T(Thermal)系列设备主要应用于
                                  逻辑芯片28nm以下制程。FT-300T具有优
   12英寸
                                  异的薄膜均匀性和纯度,薄膜内杂质含量
Thermal-ALD                                                               研发中
                                  少,刻蚀性能优越,同时也适合高深宽比
设备FT-300T
                                  晶圆孔洞的薄膜沉积。可以沉积Al2O3、
                                  AlN等多种金属化合物薄膜材料。
                                    FT-300H系列设备主要应用于128层以上
12英寸ALD                         3D NAND FLASH存储芯片、19/17 nm         产业化
设备FT-300H                       DRAM存储芯片晶圆制造,可以沉积SiO2        验证
                                  和SiN介质材料薄膜。

     (3)SACVD 设备

     SACVD 设备的主要功能是在次常压环境下,通过对反应腔内气体压力和温
度的精确控制,将气相化学反应材料在晶圆表面沉积薄膜。SACVD 设备的高压
环境可以减小气相化学反应材料的分子自由程,通过臭氧在高温下产生高活性的
氧自由基,增加分子之间的碰撞,实现优越的填孔(Gap fill)能力,是集成电路
制造的重要设备之一。




     拓荆科技是国内唯一一家产业化应用的集成电路 SACVD 设备厂商。公司的
SACVD 设备可以沉积 BPSG、SAF 材料薄膜,适配 12 英寸 40/28nm 以及 8 英寸
90nm 以上的逻辑芯片制造工艺需求。

     公司 SACVD 设备介绍具体如下:




                                      1-1-106
拓荆科技股份有限公司                                                                 招股说明书


                                                                                     研发/生产
 产品型号              产品图片                          应用领域
                                                                                       阶段
  12英寸                                SA-300T设备主要应用于40-28nm制程
                                                                                        产业化
SACVD设                                 STI、ILD工艺的晶圆制造,可以沉积
                                                                                          应用
备SA-300T                               BPSG、SAF等介质材料薄膜。
  8英寸                                 SA-200T设备主要应用于90nm以上制
                                                                                        产业化
SACVD设                                 程STI、ILD工艺的晶圆制造,可以沉积
                                                                                          应用
备SA-200T                               BPSG、SAF等介质材料薄膜。

     3、主营业务收入构成

     报告期内,公司主营业务收入按产品构成情况如下:

                                                                                  单位:万元
             2021 年 1-9 月           2020 年度             2019 年度           2018 年度
 类别
             金额         占比      金额         占比      金额      占比     金额        占比
PECVD
            32,283.16    88.69%   41,824.53     97.55%   24,772.45   100%    5,170.28    77.98%
 设备
 ALD
                 0.00     0.00%     184.48       0.43%        0.00   0.00%   1,459.58    22.02%
 设备
SACVD
             4,115.89    11.31%     867.26       2.02%        0.00   0.00%      0.00      0.00%
 设备
 合计       36,399.05     100%    42,876.27      100%    24,772.45   100%    6,629.86     100%

     报告期内,公司 PECVD 设备收入占比较高且增长较快,为公司的主要收入
来源。

     (二)主要经营模式

     发行人主要经营模式,详见本招股说明书“第二节 概览”之“四、发行人
的主营业务经营情况”之“(二)主要经营模式”部分。

     报告期内,公司存在北京晶瑞科技有限公司和 Global fundamental limited 两
家经销商客户。公司采用经销模式的背景和具体情况,详见本节“三、发行人的
销售情况和主要客户”之“(二)报告期内主要客户”之“3、既有采购又有销
售的交易对手”之“(1)采购与销售交易额均较大的交易对手”之“②北京晶
瑞科技有限公司和 Global fundamental limited”。

     报告期内,公司不同销售模式下的主营业务收入情况,参见“第八节 财务
会计信息与管理层分析”之“十一、经营成果分析”之“(二)营业收入分析”
之“5、主营业务收入按销售模式分析。”公司结合国家产业政策、上下游发展
情况、半导体专用设备行业自身特点、公司主营业务及公司自身研发生产能力等

                                              1-1-107
拓荆科技股份有限公司                                           招股说明书


因素,形成目前的经营模式。报告期内,公司经营模式的关键因素未发生重大变
化,预计未来一定时间内公司的经营模式亦不会发生重大变化。

     (三)公司设立以来主营业务、主要产品或服务、主要经营模式的演变情况

     公司自 2010 年成立以来一直专注于半导体薄膜沉积设备业务,主要产品的
演变和技术发展情况如下:




     (四)主要产品的工艺流程

     公司的三类产品均属半导体薄膜沉积设备,其生产工艺流程亦有一定的相似
性。产品工艺流程以模块化生产为主,具有系列化、标准化、柔性化的特点。既
能提高生产灵活性,缩短生产周期,也能够快速响应不同客户不同配置的需求。
具体的工艺流程如下:




                                 1-1-108
拓荆科技股份有限公司                                                     招股说明书


                               生产需求
                                                新工艺
                                                新需求
                               技术评估                       方案设计


                              BOM 清单                        设计开发


                              采购订单



                 标准件采购                    非标件采购



                               物料入库



                               生产领料




        设         反         传          远             能
        备         应         片          程             量         泵
        前         腔         腔          控             发         组
        端         模         模          制             生         模
        模         块         块          模             模         块
        块                                块             块



                               模块检验


                               整机组装


                               整机检验


                               整机入库


                               发货验收




                                          1-1-109
拓荆科技股份有限公司                                            招股说明书



     (五)生产经营中涉及的主要环境污染物、主要处理设施及处理能力

     公司高度重视环境保护的社会责任,配有完备的环保设施,配备专职环保管
理人员,获得 ISO14000 认证,保证公司生产满足环保要求。公司产品生产环节
主要是外购部件进行组装、检测和工艺调试等,不存在重污染的情形。

     产品生产、研发环节中覆膜性能测试环节,会有少量废气、废水、废液等污
染源产生。公司配备了尾气处理装置、碱洗塔、工业废水处理装置等环保设施,
并于报告期内运行良好。对于危险废物,公司委托有资质的第三方公司进行处理。
同时,公司不断优化新技术、改进工艺设计,积极推行清洁生产和各项环境保护
制度的落实。

     报告期内,公司未发生过环保事故,未受到过环保处罚。

二、发行人所处行业基本情况及其竞争状况

     (一)公司所属行业及确定所属行业的依据

     公司所处的行业为半导体专用设备行业,根据证监会《上市公司行业分类指
引》(2012 年修订),公司隶属于专用设备制造业(行业代码:C35)。根据《国
民经济行业分类》(GB/T4754-2017),公司隶属于专用设备制造业下的半导体
器件专用设备制造(行业代码:C3562)。根据国家统计局颁布的《战略性新兴
产业分类(2018)》,公司隶属于新一代信息技术产业下的集成电路制造行业。

     (二)行业主管部门、行业监管机制、行业主要法律法规政策及对发行人
经营发展的影响

     1、行业主管部门及监管体制

     公司所处的半导体设备行业政府主管部门为工信部、科技部,行业自律组织
为中国半导体行业协会和中国电子专用设备工业协会。

     工信部主要负责拟订实施行业规划、产业政策和标准,监测工业行业日常运
行,推动重大技术装备发展和自主创新,管理通信业,指导推进信息化建设,协
调维护国家信息安全等。


                                 1-1-110
拓荆科技股份有限公司                                                         招股说明书



     科技部主要负责拟订国家创新驱动发展战略方针以及科技发展、引进国外智
力规划和政策并组织实施,牵头科研项目资金协调、评估、监管机制,拟订国家
基础研究规划、政策和标准并组织实施,编制国家重大科技项目规划并监督实施
等。

     中国半导体行业协会和中国电子专用设备工业协会主要负责贯彻落实政府
产业政策;开展产业及市场研究,向会员单位和政府主管部门提供咨询服务;行
业自律管理;代表会员单位向政府部门提出产业发展建议和意见等。

       2、行业政策法规

     为推动半导体产业发展,增强产业创新能力和国际竞争力,我国近年来推出
了一系列鼓励和支持半导体产业发展的政策,为半导体产业的发展营造了良好的
政策环境。主要的行业政策法规如下:

   政策名称        颁布时间       颁布部门                  主要相关内容
                                              制定实施战略性科学计划和科学工程,瞄准
《国民经济和                                  前沿领域。其中,在集成电路领域,关注集
社会发展第十                                  成电路设计工具、重点装备和高纯靶材等关
四个五年规划           2021 年    全国人大    键材料研发、集成电路先进工艺和绝缘栅双
和 2035 年远景                                极型晶体管(IGBT)、微机电系统(MEMS)
目标纲要》                                    等特色工艺突破,先进存储技术升级,碳化
                                              硅、氮化镓等宽禁带半导体发展。
                                              国家鼓励的集成电路线宽小于 28 纳米(含),
                                              且经营期在 15 年以上的集成电路生产企业或
                                              项目,第一年至第十年免征企业所得税;国
《关于促进集                                  家鼓励的集成电路线宽小于 65 纳米(含),
                                 财政部、税
成电路产业和                                  且经营期在 15 年以上的集成电路生产企业或
                                   务总局
软件产业高质                                  项目,第一年至第五年免征企业所得税,第
                       2020 年   发展改革
量发展企业所                                  六年至第十年按照 25%的法定税率减半征收
                                 委、工业和
得税政策的公                                  企业所得税;国家鼓励的集成电路线宽小于
                                 信息化部
告》                                          130 纳米(含),且经营期在 10 年以上的集
                                              成电路生产企业或项目,第一年至第二年免
                                              征企业所得税,第三年至第五年按照 25%的
                                              法定税率减半征收企业所得税。
                                              2018 年 1 月 1 日后投资新设的集成电路线宽
                                              小于 130 纳米,且经营期在 10 年以上的集成
《关于集成电                     财政部、税   电路生产企业或项目,第一年至第二年免征
力生产企业有                     务总局、国   企业所得税,第三年至第五年按照 25%的法
关企业所得税           2018 年   家发展改革   定税率减半征收企业所得税,并享受至期满
政策问题的通                     委、工业和   为止。2018 年 1 月 1 日后投资新设的集成电
知》                             信息化部     路线宽小于 65 纳米或投资额超过 150 亿元,
                                              且经营期在 15 年以上的集成电路生产企业或
                                              项目,第一年至第五年免征企业所得税,第

                                         1-1-111
拓荆科技股份有限公司                                                        招股说明书



   政策名称        颁布时间      颁布部门                   主要相关内容
                                               六年至第十年按照 25%的法定税率减半征收
                                               企业所得税,并享受至期满为止。简称“五
                                               免五减半”。
《国家高新技
术产业开发区                                   优化产业结构,推进集成电路及专用装备关
                       2017 年    科技部
“十三五”发展                                 键核心技术突破和应用。
规划》

     3、行业主要法律法规政策及对发行人经营发展的影响

     近年来,国家推出一系列财政、税收等多方面的利好政策,为包括设备在内
的半导体产业的发展营造了良好的政策环境,为公司增强技术实力、扩大经营规
模、提高市场占有率提供了有利支持。

     (三)发行人所属行业在新技术、新产业、新业态、新模式等方面近三年
的发展情况和未来发展趋势

     1、半导体行业发展情况

     (1)半导体行业基本情况

     半导体行业的发展水平和国家科技水平息息相关,其发展情况已成为全球各
国经济、社会发展的风向标,是衡量一个国家或地区现代化程度和综合实力的重
要标志。

     ①半导体行业产业链

     半导体指常温下导电性能介于导体与绝缘体之间的材料。按产品来划分,半
导体产品可分为集成电路、分立器件、光电器件和传感器,其中集成电路
(integrated circuit)占 80%以上的份额,是绝大多数电子设备的核心组成部分,
也是现代信息产业的基础,下游应用最为广泛。

     半导体产业链可按照主要生产过程进行划分,整体可分为上游半导体支撑产
业、中游晶圆制造产业、下游半导体应用产业。上游半导体材料、设备产业为中
游晶圆制造产业提供必要的原材料与生产设备。半导体产品下游应用广泛,涉及
通讯技术、消费电子、工业电子、汽车电子、人工智能、物联网、医疗、新能源、
大数据等多个领域。下游应用行业的需求增长是中游晶圆制造产业快速发展的核


                                           1-1-112
拓荆科技股份有限公司                                                                招股说明书



心驱动力。

                                      半导体产业链图

                 上游                              中游                        下游
             半导体支撑产业                    半导体制造产业              半导体应用产业



        半导体材料      半导体设备         IC 设计               产品         通讯技术

           硅片          扩散设备                                             消费电子

          光刻胶         光刻设备                           分立器件          工业电子

          光掩膜         刻蚀设备                                             汽车电子

       电子特种气体      清洗设备         芯片制造              集成电路      人工智能

         抛光材料      离子注入设备                                            物联网

       湿电子化学品    薄膜沉积设备                              传感器         医疗

         溅射靶材      机械抛光设备                                            新能源

         封装材料        检测设备         芯片封测              光电子         大数据



     ②集成电路行业产业链

     集成电路是半导体行业最重要的构成部分。集成电路是一种微型电子器件,
一般是在单晶硅晶圆表面采用一系列氧化/扩散、薄膜沉积、光刻、刻蚀、离子
注入、CMP 及金属化等晶圆制造工艺流程,把一个电路中所需的晶体管、电阻、
电容和电感等元件及布线互连在一起,制作在半导体晶片或介质基片上,然后封
装在一个管壳内,成为具有所需电路功能的微型结构。

     集成电路产业上游包括集成电路材料、集成电路设备、EDA、IP 核,中游
包括设计、制造、封测三大环节,下游主要为终端产品的应用。

     (2)全球半导体行业发展情况及特点

     ①市场规模稳步增长

     根据 Gartner 的统计结果,全球半导体行业销售收入 2016 年至 2018 年一直
保持增长趋势,复合增长率达 17.34%。2019 年受全球宏观经济低迷影响,半导
体行业景气度有所下降。2020 年全球半导体收入恢复增长至 4,498.0 亿美元,比
2019 年增长 7.3%。半导体产业协会(SIA)的数据显示,2021 年第一季度,全
球半导体营收达到 1,231 亿美元,超过了 2018 年第三季度的 1,227 亿美元,创下
单季度历史新高。


                                          1-1-113
拓荆科技股份有限公司                                             招股说明书



                           全球半导体行业销售收入




资料来源:Gartner

     从地区发展来看,根据 SIA 2020 年数据显示,亚太地区是全球最大的半导
体消费市场,2019 年销售额占比 62.50%,其中中国大陆市场占据全球 35.00%市
场;美国为全球半导体消费第二大市场,占比约为 19.10%;欧洲及日本市场份
额分列为 9.70%和 8.70%。

     ②一超三强格局

     目前集成电路产业世界格局呈现出一超三强的状态。其中,美国产业链完善
度、企业竞争力全面领先,其先进工艺、设计、设备和 EDA 工具最为突出;日
本依靠半导体材料占据一强席位,不过总体竞争力呈下降态势;韩国通过存储器
的发展,拉动了技术水平的突飞猛进,并拓展到代工领域,提高其全产业链的竞
争力;中国台湾地区在集成电路制造及封装领域居于世界前列,“专业代工模
式”成为其在芯片领域中的核心竞争力。中国大陆处于美国和日本、韩国、中国
台湾之后的第三层级。

     (3)中国半导体行业发展情况

     ①行业整体蓬勃发展

     2010-2020 年,中国半导体行业销售额持续增长,十年复合增长率达 19.91%。
据中国半导体行业协会统计,2020 年中国集成电路产业销售额为 8,848 亿元,同比
增长 17%。




                                   1-1-114
拓荆科技股份有限公司                                           招股说明书



                               中国集成电路行业销售收入




资料来源:中国半导体行业协会

     中国是全球最大的半导体消费市场,同时也是全球最大的半导体进口国,庞
大的市场需求为集成电路产业发展提供了前提。2010 年以来,中国逐步承接了
半导体封测和晶圆制造业务并建立起初具规模的半导体设计行业生态,完成了半
导体产业的原始积累,初步完成产业链布局。

     ②部分环节进口依赖

     中国半导体产业技术水平与国际顶尖水平存在差距。在半导体设备方面,中
国本土半导体设备厂商只占全球份额的 1-2%;关键领域如光刻、薄膜沉积、刻
蚀、离子注入等,仍与海外厂商存在差距;半导体设备自给率低,需求缺口较大,
先进制程和先进工艺设备仍需攻克。

     (4)半导体行业发展趋势

     ①下游应用需求持续增长

     半导体行业每一次进入上升周期都是由下游需求驱动。近年来,下游产业新
技术、新产品快速发展,正迎来市场快速增长期。5G 手机、新能源汽车、工业
电子等包含的半导体产品数量较传统产品大比例提高;人工智能、可穿戴设备和
物联网等新业态的出现,对于半导体产品产生了新需求。据 Gartner 预测,2022
年全球半导体市场规模将达到 5,426.40 亿美元。

     ②芯片产能全球短缺

     2020 年以来,受到居家经济的影响,全球范围内人们工作生活线上化比例


                                      1-1-115
拓荆科技股份有限公司                                             招股说明书



逐步提高,催生对于各类电子产品需求大幅增长。此外,受到海外疫情影响,国
际半导体晶圆制造、封装厂商产能水平较不稳定,进一步加剧了芯片产品的供需
矛盾。根据 Strategy Analytics 报告,目前全球芯片短缺情况将会持续至 2022 年
到 2023 年。

     ③晶圆厂扩产

     为应对芯片短缺的市场需求,全球多个晶圆厂计划涨价或扩产。晶圆厂的产
能扩张将带动半导体材料、设备以及芯片制造整个产业链的收入增长。全球主要
晶圆厂资本开支及产能建设大幅增长。

     ④中国大陆成为晶圆制造产业重心

     中国大陆正在成为全球半导体产能第三次扩张的重要目的地。随着晶圆厂产
能紧缺,大陆晶圆代工厂中芯国际、华虹集团,中国台湾晶圆代工厂台积电、联
电、晶合等晶圆厂接连在大陆扩产、建厂,加速国内半导体产业发展和布局。各
类半导体软件、材料、设备均有望实现快速增长。

     2、半导体设备行业发展情况

     (1)半导体设备行业基本情况

     ①半导体行业的基础支撑

     半导体产业的发展衍生出巨大的半导体设备市场,主要包括光刻机、刻蚀机、
薄膜沉积设备、离子注入机、测试机、分选机、探针台等设备,属于半导体行业
产业链的技术先导者。应用于集成电路领域的设备通常可分为前道工艺设备(晶
圆制造)和后道工艺设备(封装测试)两大类。其中,晶圆制造设备的市场规模
占集成电路设备整体市场规模的 80%以上。在前道晶圆制造中,共有七大工艺步
骤,分别为氧化/扩散、光刻、刻蚀、薄膜生长、离子注入、清洗与抛光、金属
化,所对应的设备主要包括氧化/扩散设备、光刻设备、刻蚀设备、薄膜沉积设
备、离子注入设备、清洗设备、机械抛光设备等,其中光刻设备、刻蚀设备、薄
膜沉积设备是集成电路前道生产工艺中的三大核心设备。




                                   1-1-116
拓荆科技股份有限公司                                                                     招股说明书



                           集成电路前道制造工艺流程主要设备
            扩散        薄膜沉积      光刻          刻蚀        离子注入       CMP       金属化
    前
    道
           氧化炉      CVD 设备     涂胶/显影    等离子体刻蚀 等离子去胶机   CMP 设备   PVD 设备
    工    RTP 设备     PVD 设备       光刻机     等离子去胶机 离子注入机      刷片机    CVD 设备
    艺    激光退火     ALD 设备                  湿法刻蚀设备                           电镀设备
                       气相外延炉




资料来源:国元证券

     ②验证壁垒高

     半导体行业客户对半导体设备的质量、技术参数、运行稳定性等有严苛的要
求,对新设备供应商的选择也较为慎重。因此,半导体设备企业在客户验证、开
拓市场方面周期长、难度大,使得该行业具有高验证壁垒的特点。

     ③投资占比高

     半导体设备系晶圆厂建设中的重要投资方向,晶圆厂 80%的投资用于购买晶
圆制造相关设备。

     ④技术更新快

     半导体行业通常是“一代产品、一代工艺、一代设备”,晶圆制造要超前下
游应用开发新一代工艺,而半导体设备要超前晶圆制造开发新一代设备。半导体
行业同时也遵循着摩尔定律。因此,半导体设备供应商必须每隔 18-24 个月推出
更先进的制造工艺,不断追求技术革新,也推动了半导体行业的持续快速发展。

     (2)全球半导体设备行业发展情况及特点

     ①增速明显

     据 SEMI 统计,2014 年全球半导体设备销售规模为 375 亿美元,2020 年全
球半导体设备销售额达 712 亿美元,年均复合增长率达 11.28%。2020 年,行业
同比增长达 19%。




                                                1-1-117
拓荆科技股份有限公司                                            招股说明书



                       2010-2020 年全球半导体设备销售额




数据来源:SEMI

     ②高壁垒及寡头格局

     全球半导体设备市场目前主要由国外厂商主导。以美国的应用材料(AMAT)
和泛林半导体(Lam),日本的东京电子(TEL)和迪恩仕(DNS),荷兰的
ASML(ASML Holding N.V.)和先晶半导体(ASMI)等为代表的国际知名企业
经过几十年发展,凭借资金、技术、客户资源、品牌等方面的优势,占据了全球
半导体设备市场的大部分份额。

     (3)中国半导体设备行业发展情况

     ①已成为全球最大市场

     我国已成为全球半导体产业市场规模最大的地区,约占全球 35%的市场份
额。半导体设备行业在下游快速发展的推动下,保持快速增长。根据 SEMI 统计,
2020 年中国大陆地区半导体设备销售规模达 187.2 亿美元,同比增长 39%,首次
超过中国台湾地区,成为全球第一大半导体设备市场。

               2012-2020 年中国大陆半导体设备销售额(亿美元)




                                    1-1-118
拓荆科技股份有限公司                                           招股说明书


数据来源:SEMI

     ②全行业景气度提高

     伴随着国家近年对半导体产业不断的政策扶持、加大投入力度及部分民营企
业的兴起,国产半导体设备实现了从无到有、从弱到强的巨大转变,使我国半导
体产业生态和制造体系得以不断完善。中芯国际、华虹集团等晶圆厂已在 28nm
工艺节点上成功取得量产逐步发展 14nm 及以下制程工艺,逐渐拉近与国际制造
巨头的技术差距;长江存储、长鑫存储等 IDM 模式存储芯片厂商已完成产线建
设和晶圆投产,进入产能扩张期。较为完备的产业生态和行业下游的快速发展,
为国内半导体设备企业技术水平和产业规模提高奠定基础。

     ③国产设备自给率较低

     目前,我国半导体设备整体仍依赖进口。根据中国电子专用设备工业协会数
据统计,2020 年国产半导体设备销售额约为 213 亿元,自给率约为 17.5%。如仅
考虑集成电路设备,国内自给率仅有 5%左右,在全球市场仅占 1-2%,技术含量
最高的集成电路前道设备则自给率更低。半导体设备严重依赖进口不仅影响我国
半导体产业的发展,更对我国信息产业安全造成重大隐患。半导体制造国产化势
必带动设备国产化,国产设备进口替代趋势明显,替代空间巨大。

                       国产半导体装备产业销售额(亿元)




数据来源:中国电子专用设备工业协会

     (4)国内半导体设备行业发展情况

     ①市场空间巨大

     中国大陆晶圆厂新建产能进程加快,2019 年以来,华虹半导体(无锡)项


                                     1-1-119
拓荆科技股份有限公司                                           招股说明书



目、广州粤芯半导体项目、长鑫存储 DRAM 项目均正式投产。2020 年以来,国
内包括长江存储、广州粤芯、上海积塔、中芯南方、士兰微(厦门)、广东海芯
项目等产线也取得新进展。半导体行业整体快速增长,终端半导体产品的不断迭
代推动晶圆厂开发新的工艺,为设备行业提供广阔的市场空间。

     目前我国半导体设备市场仍严重依赖进口,因此能够实现进口替代的国内半
导体设备厂商市场空间较大,并迎来巨大的成长机遇。

     ②下游产业友好度提升

     下游晶圆厂对于国产半导体设备的友好度日渐提升。近年来,由于国际形势
日渐复杂,半导体产业供应链出现非商业因素的干扰,国内晶圆厂采购半导体设
备受到一定程度限制,影响企业正常的生产经营。此外,国家通过政策支持、重
大科技项目引导、产业基金投资等多种方式,鼓励半导体设备厂商与晶圆厂协同
发展,共同构建本地产业链合作。半导体设备厂商逐步获得进入下游晶圆厂产线
进行设备验证的机会,及时掌握晶圆厂的技术需求,有针对性的对设备进行研发、
升级,产品技术性能及市场占有率均得到大幅提高。

     3、发行人细分行业发展情况

     (1)发行人细分行业基本情况

     ① 薄膜沉积设备分类

     薄膜沉积是指在硅片衬底上沉积一层待处理的薄膜材料。所沉积薄膜材料可
以是二氧化硅、氮化硅、多晶硅等非金属以及铜等金属。薄膜沉积设备主要负责
各个步骤当中的介质层与金属层的沉积,包括 CVD(化学气相沉积)设备、PVD
(物理气相沉积)设备/电镀设备和 ALD(原子层沉积)设备。




                                   1-1-120
拓荆科技股份有限公司                                                         招股说明书



                        PVD、CVD 及 ALD 成膜效果简示




资料来源:《Characterization of Atomic Layer Deposited Thin Films: Conformality in High
Aspect Ratio Pores and the Electrical Properties》

     ② 化学气相沉积(CVD)设备

     化学气相沉积是通过化学反应的方式,利用加热、等离子或光辐射等各种能
源,在反应器内使气态或蒸汽状态的化学物质在气相或气固界面上经化学反应形
成固态沉积物的技术,是一种通过气体混合的化学反应在硅片表面沉积薄膜的工
艺,可应用于绝缘薄膜、硬掩模层以及金属膜层的沉积。

     CVD 设备由气相反应室(进气方向与样品表面成水平或垂直),能量系统
(加热或射频),反应气体控制系统,真空系统及废气处理装置等组成。硅片的
表面及邻近区域被加热来向反应系统提供附加的能量。常用 CVD 设备包括
PECVD、SACVD、APCVD、LPCVD 等,适用于不同工艺节点对膜质量、厚度
以及孔隙沟槽填充能力等的不同要求。

     常压化学气相沉积(APCVD)是最早的 CVD 设备,结构简单、沉积速率高,
至今仍广泛应用于工业生产中。低压化学气相沉积(LPCVD)是在 APCVD 的
基础上发展起来的,由于其工作压力大大降低,薄膜的均匀性和沟槽覆盖填充能
力有所改善,相比 APCVD 的应用更为广泛。等离子体增强化学气相沉积设备
(PECVD)在从亚微米发展到 90nm 的 IC 制造技术过程中,扮演了重要的角色,
由于等离子体的作用,化学反应温度明显降低,薄膜纯度得到提高,致密度得以
加强,不伤害芯片已完成的电路。次常压化学气相沉积(SACVD)主要应用于
沟槽填充工艺。集成电路结构中,沟槽孔洞的深宽比越来越大,SACVD 反应腔
环境具有特有的高温(400-550℃)、高压(30-600Torr)环境,具有快速填空(Gap


                                        1-1-121
拓荆科技股份有限公司                                            招股说明书



fill)能力。

     ③原子层沉积设备(ALD)

     原子层沉积可以将物质以单原子膜形式一层一层地镀在基底表面的方法。从
原理上说,ALD 是通过化学反应得到生成物,但在沉积反应原理、沉积反应条
件的要求和沉积层的质量上都与传统的 CVD 不同。相对于传统的沉积工艺而言,
ALD 工艺具有自限制生长的特点,可精确控制薄膜的厚度,制备的薄膜具有均
匀的厚度和优异的一致性,台阶覆盖率高,特别适合深槽结构中的薄膜生长。

     ALD 设备沉积的薄膜具有非常精确的膜厚控制和非常优越的台阶覆盖率,
在 28nm 以下关键尺寸缩小的双曝光工艺方面取得了越来越广泛的应用。目前,
28nm 以下先进制程的 FinFET 制造工艺中,难点在于形成 Fin 的形状,Fin 的有
源区并不是通过光刻直接形成的,而是通过自对准双重成像技术(SADP,
Self-Aligned Double Patterning)工艺形成。

                              SADP 工艺流程图




资料来源:《集成电路制造工艺与工程应用》

     ALD 所沉积的 Spacer 材料的宽度即决定了 Fin 的宽度,是制约逻辑芯片制
程先进程度的核心因素之一。

     除此之外,ALD 设备在高 k 材料、金属栅、STI、BSI 等工艺中均存在大量
应用,广泛应用于 CMOS 器件、存储芯片、TSV 封装等半导体制造领域。

     (2)发行人细分行业市场发展情况

     ① 薄膜沉积设备市场规模

                                    1-1-122
拓荆科技股份有限公司                                            招股说明书



     根据 Maximize Market Research 数据统计,2017-2019 年全球半导体薄膜沉
积设备市场规模分别为 125 亿美元、145 亿美元和 155 亿美元,2020 年扩大至约
172 亿美元,年复合增长率为 11.2%。

                         全球半导体薄膜沉积设备市场规模




资料来源:Maximize Market Research

     新建晶圆厂设备投资中,晶圆制造相关设备投资额占比约为总体设备投资的
80%,薄膜沉积设备作为晶圆制造的三大主设备之一,其投资规模占晶圆制造设
备总投资的 25%。

                              半导体设备投资占比情况




来源:SEMI

     薄膜沉积工艺的不断发展,形成了较为固定的工艺流程,同时也根据不同的
应用演化出了 PECVD、溅射 PVD、ALD、LPCVD 等不同的设备用于晶圆制造
的不同工艺。其中,PECVD 是薄膜设备中占比最高的设备类型,占整体薄膜沉
积设备市场的 33%;ALD 设备目前占据薄膜沉积设备市场的 11%;SACVD 是新
兴的设备类型,属于其他薄膜沉积设备类目下的产品,占比较小。在整个薄膜沉
积设备市场,属于 PVD 的溅射 PVD 和电镀 ECD 合计占有整体市场的 23%。



                                     1-1-123
拓荆科技股份有限公司                                            招股说明书



                              各类薄膜沉积设备占比




资料来源:Gartner,广发证券

     近年来全球 ALD 设备市场规模快速增长。根据市场调查机构 Acumen
research and condulting 预测,由于半导体先进制程产线数量增加,2026 年全球
ALD 设备市场规模约为 32 亿美元。

     根据国内半导体设备市场占全球市场 26.29%的比例和 2020 年全球薄膜沉积
设备 172 亿美元市场规模测算,2020 年国内薄膜沉积设备市场规模约为 45.22
亿美元。PECVD 和 ALD 分别占薄膜沉积设备市场比例为 33%和 11%,2020 年
国内市场 PECVD 市场规模约为 14.92 亿美元,ALD 市场规模约为 4.97 亿美元。

     ② 市场竞争态势

     从全球市场份额来看,薄膜沉积设备行业呈现出高度垄断的竞争局面,行业
基本由应用材料(AMAT)、ASMI、泛林半导体(Lam)、东京电子(TEL)等
国际巨头垄断。2019 年,ALD 设备龙头东京电子(TEL)和先晶半导体(ASMI)
分别占据了 31%和 29%的市场份额,剩下 40%的份额由其他厂商占据;而应用
材料(AMAT)则基本垄断了 PVD 市场,占 85%的比重,处于绝对龙头地位;
在 CVD 市场中,应用材料(AMAT)全球占比约为 30%,连同泛林半导体(Lam)
的 21%和 TEL 的 19%,三大厂商占据了全球 70%的市场份额。




                                   1-1-124
拓荆科技股份有限公司                                            招股说明书




资料来源:Gartner、华创证券

     (3)发行人细分行业发展趋势

     ① 薄膜沉积设备市场需求稳步增长

     随着半导体行业整体景气度的提升,全球半导体设备市场呈现快速增长态
势,拉动市场对薄膜沉积设备需求的增加。Maximize Market Research 预计全球
半导体薄膜沉积设备市场规模在 2025 年将从 2020 年的 172 亿美元扩大至 340
亿美元,保持年复合 13.3%的增长速度。

     根据中国国际招投标网公布的信息,长江存储、上海华力、华虹无锡、上海
积塔、中芯绍兴、合肥晶合等中国本土晶圆厂正在加大设备采购力度。中国本土
晶圆厂建厂的热潮将一同引领中国半导体薄膜沉积设备的需求增长。

     ②芯片工艺进步及结构复杂化提高薄膜设备需求

     在晶圆制造过程中,薄膜起到产生导电层或绝缘层、阻挡污染物和杂质渗透、
提高吸光率、临时阻挡刻蚀等重要作用。随着集成电路的持续发展,晶圆制造工
艺不断走向精密化,芯片结构的复杂度也不断提高,需要在更微小的线宽上制造,
制造商要求制备的薄膜品种随之增加,最终用户对薄膜性能的要求也日益提高。
这一趋势对薄膜沉积设备产生了更高的技术要求,市场对于高性能薄膜设备的依
赖逐渐增加。

     ③先进产线对薄膜设备需求量陡增

     随着产线的逐渐升级,晶圆厂对薄膜沉积设备数量和性能的需求将继续随之
提升,在实现相同芯片制造产能的情况下,对薄膜沉积设备的需求量也将相应增
加。


                                   1-1-125
拓荆科技股份有限公司                                                   招股说明书



                       不同制程逻辑芯片产线薄膜沉积设备需求量

               晶圆产线                 设备种类   所需设备数量(台)/万片月产能

                                          CVD                   9.9
     中芯国际 180nm 8 寸晶圆产线
                                          PVD                   4.8

                                          CVD                   42
     中芯国际 90nm 12 寸晶圆产线
                                          PVD                   24
资料来源:长江证券研究所

     对比两种产线的设备需求数量,总体上看,越先进制程产线所需的薄膜沉积
设备数量越多。先进制程使得晶圆制造的复杂度和工序量都大大提升,为保证产
能,产线上需要更多的设备。

     在 FLASH 存储芯片领域,随着主流制造工艺已由 2D NAND 发展为 3D
NAND 结构,结构的复杂化导致对于薄膜沉积设备的需求量逐步增加。根据东
京电子披露,薄膜沉积设备占 FLASH 芯片产线资本开支比例从 2D 时代的 18%
增长至 3D 时代的 26%。随着 3D NAND FLASH 芯片的内部层数不断增高,对于
薄膜沉积设备的需求提升的趋势亦将延续。

                             2D NAND 与 3D NAND 结构简图




资料来源:SEMI,广发证券

     尽管全球半导体设备市场有较强的周期性,但中国大陆半导体产业正面临前
所未有的发展机遇,国家战略聚焦,巨大市场支撑,产业链良性互动,产业资本
日渐发力,大陆及国际资本投资的晶圆厂数量不断增加,制程更加先进,中国薄
膜沉积设备行业将保持高成长性,未来中国市场的重要性将进一步提高。




                                       1-1-126
拓荆科技股份有限公司                                           招股说明书



     (四)发行人的技术水平及特点,取得的科技成果与产业深度融合的具体
情况

     1、发行人的技术水平及特点

     公司自成立以来,始终专注于半导体薄膜沉积设备的研发。公司先后承担
“90-65nm 等离子体增强化学气相沉积设备研发与应用”、“1x nm 3D NAND
PECVD 研发及产业化”等四项国家重大科技专项/课题。公司的先进薄膜工艺设
备设计技术、反应模块架构布局技术、半导体制造系统高产能平台技术、等离子
体稳定控制技术、反应腔腔内关键件设计技术、半导体沉积设备气体输运控制系
统、气体高速转换系统设计技术、反应腔温度控制技术等核心技术,解决了半导
体制造中纳米级厚度薄膜均匀一致性、薄膜表面颗粒数量少、快速成膜、设备产
能稳定高速等关键难题,在保证实现薄膜工艺性能的同时,提升客户产线的产能,
减少客户产线的生产成本。

     2、发行人技术成果与产业深度融合

     公司研发的 PECVD、ALD 及 SACVD 设备系列化产品已累计发货超 150 台,
在集成电路制造及相关领域实现产业深度融合。发行人系列设备在逻辑芯片、
DRAM 芯片及 3D NAND FLASH 芯片中的应用图示如下:




                                 1-1-127
拓荆科技股份有限公司                                                        招股说明书




                                  逻辑芯片应用图示




            3D NAND 应用图示                                DRAM 应用图示




     发行人系列设备与产业深度融合的具体情况如下:

     (1)PECVD 设备

     PECVD 设备系集成电路制造的核心设备,一颗芯片的制造过程中,涉及十
余种不同材料的薄膜,各类电性能、机械性能不同的薄膜构成了芯片 3D 结构体
中不同的功能。发行人针对下游对于不同材料薄膜 PECVD 设备的需求,已研发
并生产 16 种不同工艺型号的 PECVD 设备,具体产业化应用情况如下:

设备类型        应用领域                   工艺领域                   产业应用情况
                                 前/后段介质层(Inter Layer
                               Dielectric,Inter Metal Dielectric)   130-28nm 逻辑产
SiO2 工艺                                                            业化应用;14nm
             逻辑芯片制造      后段钝化层(Passivation Layer)
 PECVD                                                               及 10nm 以下逻辑
                            后段刻蚀硬掩模工艺(Etch hardmask)        产业化验证中

                           后段刻蚀阻挡层工艺(Etch Stop Layer) 130-28nm 逻辑、64
                                                                  层 FLASH 产业化
            逻辑芯片制造、
SiN 工艺                     后段介质层(Inter Metal Dielectric) 应用;14nm 逻辑、
            DRAM 制造、
PECVD                                                             128 层 FLASH、
              FLASH 制造
                               后段钝化层(Passivation Layer)    19/17DRAM 产业
                                                                      化验证中

                                      1-1-128
拓荆科技股份有限公司                                                              招股说明书



设备类型        应用领域                     工艺领域                        产业应用情况
                                                                           2.5D、3D 封装产业
                先进封装          后段封装领域介质层及钝化层
                                                                                 化应用
                                                                           130-28nm 逻辑、64
                                                                           层 FLASH 产业化
             逻辑芯片制造、
SiON 工艺                      前后段介电抗反射涂层 (DARC,               应用;14nm 逻辑、
             DRAM 制造、
 PECVD                        Dielectric anti-reflective coating layer)    128 层 FLASH、
               FLASH 制造
                                                                           19/17nm DRAM 产
                                                                              业化验证中
                                  前/后段介质层 (Inter Layer
BPSG 工                          Dielectric,Inter Metal Dielectric)       130-28nm 逻辑产
             逻辑芯片制造
艺 PECVD                                                                       业化应用
                                后段钝化层(Passivation Layer)
                                  前/后段介质层 (Inter Layer              130-28nm 逻辑、64
                                 Dielectric,Inter Metal Dielectric)       层 FLASH 产业化
             逻辑芯片制造、
                                后段钝化层(Passivation Layer)            应用;14nm 逻辑、
             DRAM 制造、
TEOS 工                                                                     128 层 FLASH、
               FLASH 制造
艺 PECVD                      后段刻蚀硬掩模工艺(Etch hardmask)          19/17nm DRAM 产
                                                                              业化验证中
                                                                           2.5D、3D 封装产业
                先进封装          后段封装领域介质层及钝化层
                                                                                 化应用
                              前后段介电抗反射涂层(NFDARC,
SiOC 工艺                                                                  65-28nm 产业化应
             逻辑芯片制造       Nitrogen free dielectricanti-reflective
 PECVD                                                                            用
                                           coating layer)
FSG 工艺                      后段低介电常数介质层(Inter Metal             130-65nm 产业化
             逻辑芯片制造
 PECVD                                      Dielectric)                          应用
HSN 工艺                      前段应力记忆层(Stress Memorization          40-28nm 产业化应
             逻辑芯片制造
 PECVD                                  Technique Layer)                          用
PSG 工艺                                                                    130-28nm 产业化
             逻辑芯片制造       后段钝化层(Passivation Layer)
 PECVD                                                                            应用
Lok I 工艺
             逻辑芯片制造        后段层间介质层(BEOL IMD)                40nm 产业化应用
 PECVD
LokII 工艺                                                                  28nm 产业化验证
             逻辑芯片制造        后段层间介质层(BEOL IMD)
 PECVD                                                                             中
                                前段刻蚀硬掩模工艺(FEOL etch               28nm 产业化验证
             逻辑芯片制造
                                          hardmask)                               中
                                前段刻蚀硬掩模工艺(FEOL etch              19/17 nm 产业化验
ACHM 工       DRAM 制造
                                          hardmask)                              证中
艺 PECVD
                                                                           64 层产业化应用;
                                后段刻蚀硬掩模工艺(BEOL etch
              FLASH 制造                                                   128 层产业化验证
                                          hardmask)
                                                                                    中
ADC I 工                      后段刻蚀阻挡层/扩散阻挡层(etch stop         40-28nm 产业化验
             逻辑芯片制造
艺 PECVD                              layer/barrier layer)                       证中
   Thick                                                                   19/17nm 产业化验
TEOS 工       DRAM 制造              RDL DRAM 后段介质层
                                                                                  证中
   艺 3D
                                                                           2.5D 封装产业化验
  NAND          先进封装       2.5D interposer Cap layer 后段介质层
  PECVD                                                                           证中
NO stack                                                                   128 层产业化验证
              FLASH 制造            Flash memory 前段介质层
工艺 3D                                                                            中


                                         1-1-129
拓荆科技股份有限公司                                                                招股说明书



设备类型        应用领域                        工艺领域                     产业应用情况
 NAND
 PECVD
                                前段介质膜钝化保护层工艺(Dielectric /
                                             Passivation)                  4/6 英寸产业化应
 TFLITE       LED 器件制造
                                Patterned Sapphire Substrate 掩模光学反             用
                                  射层工艺(Hard Mask/Optical film)

     (2)ALD 设备

     ALD 设备具备优秀的多面体表面成膜能力,可以满足复杂结构体的镀膜需
求,在先进制程集成电路制造工序中应用广泛。发行人已量产了 PE-ALD,正在
研发 Thermal -ALD 设备,具体产业化应用情况如下。

  设备类型         应用领域                    工艺领域                    产业应用情况

                逻辑芯片制造          SADP 工艺 和 STI liner 工艺      28-14 纳米产业化应用
  SiO2 工艺
                   先进封装                    TSV 工艺                   2.5D、3D 封装
PE-ALD 设备
                 CMOS 器件                     BSI 工艺                         -


     (3)SACVD 设备

     SACVD 设备具有能够填平沟槽孔洞结构至平整的能力和沉积速度快的特
点,系集成电路制造中的重要设备。发行人研发生产了多种不同工艺的 SACVD
设备,具体产业化应用情况如下。

   设备类型              应用领域               工艺领域                  产业应用情况

  BPSG 工艺            逻辑芯片制造          金属前绝缘层             130-90nm 产业化应用
 SACVD 设备            DRAM 制造               刻蚀调节层            19/17 纳米产业化验证中
   SAF 工艺                              金属前绝缘层和浅槽隔       65-28nm,及 130nm 以上产
                       逻辑芯片制造
  SACVD 设备                                     离                        业化验证中
 SA TEOS 工艺                                  SAB CAP              40-28nm,130nm 以上产业
                       逻辑芯片制造
  SACVD 设备                                   SMT CAP                     化验证中

     (五)发行人产品或服务的市场地位及行业内主要企业

     1、发行人产品或服务的市场地位

     拓荆科技是国内半导体设备行业重要的领军企业之一,公司三次(2016 年、
2017 年、2019 年)获得中国半导体行业协会颁发的“中国半导体设备五强企业”
称号。公司专注的薄膜沉积设备领域系半导体晶圆制造三大核心设备种类之一。

                                            1-1-130
拓荆科技股份有限公司                                             招股说明书



公司是国内唯一一家产业化应用的集成电路 PECVD、SACVD 设备厂商,发行
人产品已成功应用于中芯国际、华虹集团、长江存储、厦门联芯、燕东微电子等
行业领先集成电路制造企业产线,产品技术参数已达到国际同类设备水平。

     目前,全球半导体薄膜沉积设备市场由应用材料(AMAT)、泛林半导体
(Lam)、东京电子(TEL)、先晶半导体(ASMI)等海外公司占据主导地位。
在国内市场,发行人与海外行业巨头正面竞争, 根据公开招标信息披露,
2019-2020 年发行人 PECVD 设备中标机台数量占长江存储、上海华力、无锡华
虹和上海积塔四家招标总量的 16.65%。公司采用优先攻克重点行业、重点客户
需求的市场策略,获评中芯国际 2020 年度最佳合作厂商称号、华虹宏力 2020
年度优秀供应商称号等。在国外市场,发行人面临行业巨头已形成的竞争壁垒,
目前发行人全球整体市场份额占比较低。

     2、行业内主要竞争对手情况

     在薄膜沉积设备领域,拓荆科技目前主要竞争对手为美国的应用材料
(AMAT)、美国的泛林半导体 (Lam)、日本的东京电子(TEL)、荷兰的先
晶半导体(ASMI)。

     (1)应用材料(AMAT)情况

     该公司成立于 1967 年,系美国纳斯达克证券交易所上市公司(股票代码:
AMAT),主要从事半导体设备的研发、生产和销售,主要产品包括原子层沉积
设备、化学薄膜沉积设备、电化学沉积设备、物理薄膜沉积设备、刻蚀设备、快
速热处理设备、离子注入机、化学机械抛光设备等。

     应用材料(AMAT)是世界上最大的半导体装备供应商,提供泛半导体装备
包含半导体及封装,太阳能,和 LED 等领域,基本在全部的前道工艺上除光刻
机以外都有全系列的专用装备提供。在全球有超过 15,700 多名员工。

     (2)泛林半导体(Lam)情况

     该公司成立于 1980 年,系美国纳斯达克证券交易所上市公司(股票代码:
LRCX),主要从事半导体设备的研发、生产和销售,主要产品包括刻蚀设备、
薄膜沉积设备、晶圆清洗设备、光致抗蚀设备等。

                                  1-1-131
拓荆科技股份有限公司                                             招股说明书



     泛林半导体(Lam)为世界上第三大半导体装备供应商,仅次于应用材料
(AMAT)及专供光刻机的 ASML(ASML Holding N.V.),产品着重在薄膜沉
积、等离子刻蚀、光阻去除、晶片清洗等半导体前道工艺和封装应用,现有 8,200
名员工。

     (3)东京电子(TEL)情况

     该公司成立于 1963 年,系东京证券交易所上市公司(股票代码:8035.TYO),
主要从事半导体设备的研发、生产和销售,其主要产品包括显像设备、热处理成
膜设备、干法刻蚀设备、湿法清洗设备及测试设备及平板液晶显示设备等。

     东京电子(TEL)是全球最大的半导体制造设备、液晶显示器制造设备制造
商之一。国内的集成电路制造前道晶圆加工环节用涂胶显影设备主要被该公司垄
断。东京电子(TEL)在全球约有 11,000 名员工。

     (4)先晶半导体(ASMI)情况

     该公司成立于 1968 年,是一家荷兰晶圆制造半导体工艺设备的供应商,阿
姆斯特丹泛欧交易所上市公司(股票代码:ASM)。公司产品涵盖了晶圆加工
技术的重要方面,包括光刻、沉积、离子注入和单晶圆外延。近年来,公司将原
子层沉积(ALD)和等离子体增强原子层沉积(PEALD)引入先进制造商的主
流生产。

     先晶半导体(ASMI)是全球十大半导体设备供应商之一,占半导体设备市
场份额的 3%。该公司在原子层沉积领域较为突出,占有原子层沉积领域全球市
场份额的 29%,仅次于东京电子(TEL)占有的 31%。先晶半导体(ASMI)在
全球有 2,500 余名员工。

     3、公司和竞争对手的经营情况比较

     公司的 PECVD、ALD 及 SACVD 产品主要竞争对手为国际半导体设备厂商,
如应用材料(AMAT)、泛林半导体(Lam)、东京电子(TEL)、先晶半导体
(ASMI)。




                                  1-1-132
拓荆科技股份有限公司                                                        招股说明书



                       公司主要竞争对手近三年主要盈利指标

     公司名              年度          营业收入            毛利率          净利润

                                2018         167.05            45.00%             30.38
     美国应材
                                2019         146.08            43.72%             27.06
   (亿美元)
                                2020         172.02            44.72%             36.19

                                2018         110.77            46.63%             23.81
   泛林半导体
                                2019             96.54         45.15%             21.91
   (亿美元)
                                2020         100.45            45.88%             22.52

                                2018      11,307.28            42.01%           2043.99
     东京电子
                                2019      12,782.40            41.94%           2482.28
   (亿日元)
                                2020      11,272.86            40.09%           1852.06

                                2018              8.18         40.90%               1.57
   先晶半导体
                                2019             12.84         49.73%               3.29
   (亿欧元)
                                2020             13.28         46.95%               2.85
数据来源:Wind
注:报告期内,竞争对手的会计期间存在一定差异,其中,应用材料(AMAT)的会计期间
为上年 11 月-当年 10 月;泛林半导体(Lam)的会计期间为上年 7 月-当年 6 月;东京电子
(TEL)为上年 4 月-当年 3 月;先晶半导体(ASMI)为当年 1 月-当年 12 月。

     4、公司技术实力及产品关键指标情况

     在 PECVD、ALD 及 SACVD 设备领域,发行人已形成覆盖二十余种工艺型
号的薄膜沉积设备,满足下游客户晶圆制造产线多种薄膜沉积工艺需求。发行人
自主研发形成的核心技术,已达到国际先进水平。

     公司产品的性能参数系公司经营关键业务指标,产品总体性能和关键性能参
数已达到国际同类设备水平,具体体现为以下指标:

              产品关键性能参数                                水平评价

              设备产能(WPH)                            达到国际同类设备水平

        机台稳定运行时间(Uptime)                       达到国际同类设备水平

        平均故障间隔时间(MTBF)                         达到国际同类设备水平

           平均破片率(MWBB)                            达到国际同类设备水平


                                       1-1-133
拓荆科技股份有限公司                                              招股说明书



              产品关键性能参数                       水平评价

          平均修复时间(MTTR)                 达到国际同类设备水平

               薄膜片内均匀性                  达到国际同类设备水平

               薄膜片间均匀性                  达到国际同类设备水平

                薄膜颗粒控制                   达到国际同类设备水平

                金属污染控制                   达到国际同类设备水平


     (六)发行人的竞争优势和劣势

     1、公司的核心竞争优势

     (1)优秀的研发技术团队优势

     拓荆科技已经建成了一支国际化、专业化的半导体薄膜沉积设备研发技术团
队。公司创始团队以归国海外专家为核心,立足核心技术研发,积极引进海外高
层次人才、自主培养本土科研团队。

     公司国际化专业化的高级管理团队、全员持股的激励制度,吸引了大量具有
丰富经验的国内外半导体设备行业专家加入公司,在整机设计、工艺设计、软件
设计等方面做出突出贡献。公司自设立以来,自主培养本土科研团队,随着多项
产品的研发成功,公司本土科研团队已成长为公司技术研发的中坚力量。截至报
告期末,公司研发人员共有 189 名,占公司员工总数的 44.06%。公司的研发技
术团队结构合理,分工明确,专业知识储备深厚,产线验证经验丰富,是奠定公
司技术实力的基石,保障了公司产品的市场竞争力。

     (2)技术积累及研发平台优势

     拓荆科技自设立以来,坚持自主创新,形成了一系列独创性的设计,构建了
完善的知识产权体系。截至 2022 年 3 月 8 日,拓荆科技累计已获授权的专利 174
项(境内 153 项,其他国家或地区 21 项),其中发明专利共计 98 项(境内 77
项,其他国家或地区 21 项)。

     公司先后承担了“90-65nm 等离子体增强化学气相沉积设备研发与应用”和
“1x nm 3D NAND PECVD 研发及产业化”等 4 项国家重大科技专项/课题,已
研发了支持不同工艺型号的 PECVD、ALD 和 SACVD 设备,在半导体薄膜沉积

                                   1-1-134
拓荆科技股份有限公司                                            招股说明书



设备领域积累了多项研发及产业化的核心技术,构建了具有设备种类、工艺型号
外延开发能力的研发平台。

     (3)行业地位及客户资源优势

     发行人以建立“世界领先的薄膜设备公司”为愿景,通过在薄膜沉积设备这
一半导体核心设备细分领域的积累和快速发展,已经成为国内半导体设备行业的
领军企业。公司分别于 2016、2017、2019 年获得中国半导体行业协会颁发的“中
国半导体设备五强企业”称号。

     公司的主要产品 PECVD、ALD 及 SACVD 设备已批量发往国内主要集成电
路晶圆厂产线。此外,公司积极开拓国际市场机会,公司已与某国际领先晶圆厂
建立业务联系,发货两台设备至客户先进制程研发产线,为打开国际市场奠定了
基础。

     (4)客户需求快速响应优势

     公司针对客户提出的特定工艺材料、特定制造工序薄膜性能的快速响应能力
可以及时满足客户产线的客制化设备需求。这对于中国本土客户近年来能够快速
扩充产能极其重要,由此建立和巩固与客户稳定的合作关系。公司主要客户的生
产基地位于中国大陆,相较于国际竞争对手,公司最高层管理和技术团队更贴近
主要客户,能够提供更快捷、更经济的技术支持,及时保障和满足客户需求。

     (5)运营成本优势

     公司的主要竞争对手均位于美国和日本,服务中国大陆客户的成本较高。公
司的研发和生产主要位于中国大陆,拥有区位优势。公司建立了全球化的采购体
系,随着本土供应链的不断成熟,给予了公司更多的采购选择。在产品设计方面,
公司通过与供应商密切合作,使产品具有模块化、易维护的特点,从而降低公司
原材料采购成本。因此,拓荆科技相比其主要竞争对手在运营成本方面具有一定
优势,随着产能的不断提升,降本优势将更加明显。

     2、竞争劣势

     (1)融资渠道相对受限,研发经费相对不足


                                   1-1-135
拓荆科技股份有限公司                                             招股说明书



     目前公司正处于快速成长阶段,在研发投入、人才引进、厂房建设、购置设
备、市场拓展等方面均迫切需要大量资金的支持,但是公司资金目前仍主要依靠
股东投资,资金来源有限,不利于公司进一步验证技术、研发新品、扩大产能、
快速响应市场需求变化。公司未来迫切需要拓宽融资渠道,寻求更多的资金支持,
为产品创新提供持续稳定的推动力。

     (2)相对国外知名企业的认知度不足,面临一定的市场进入壁垒

     半导体设备行业具有较高的产品认证壁垒。近年来,公司的设备凭借质量稳
定可靠、性价比高等优势,已逐步进入多家国内外先进企业的生产线应用或验证
中。随着公司持续的研发创新投入及市场开拓,未来公司的客户认知度将逐步提
升。但国外知名薄膜沉积设备企业具有规模大、进入市场时间长、垄断地位突出
及国际化布局完备等优势,公司目前规模有限,与国外知名的竞争对手相比在认
知度上还存在一定劣势。

     (七)行业发展态势、面临的机遇与挑战

     1、行业发展态势及面临的机遇

     (1)下游应用高速发展,市场需求持续旺盛

     纵观半导体行业的发展历史,虽然行业呈现明显的周期性波动,但整体增长
趋势并未发生变化,而每一次技术变革是驱动行业持续增长的主要动力。

     半导体产品的旺盛需求和全行业产能紧缺推动了晶圆制造厂扩大资本开支,
扩充产线产能,为半导体设备行业市场需求增长奠定基础。薄膜沉积设备作为集
成电路晶圆制造的核心设备,将迎来行业快速发展阶段。

     (2)集成电路工艺进步,设备需求稳步增加

     在摩尔定律的推动下,元器件集成度的大幅提高要求集成电路线宽不断缩
小,影响集成电路制造工序愈为复杂。尤其当线宽向 7 纳米及以下制程发展,当
前市场普遍使用的光刻机受波长的限制精度无法满足要求,需要采用多重曝光工
艺,重复多次薄膜沉积和刻蚀工序以实现更小的线宽,使得薄膜沉积次数显著增
加。除逻辑芯片外,存储器领域的 NAND 闪存以 3D NAND 为主,其制造工艺


                                   1-1-136
拓荆科技股份有限公司                                             招股说明书



中,增加集成度的主要方法不再是缩小单层上线宽而是增大三维立体堆叠的层
数,叠堆层数也从 32/64 层量产向 128/196 层发展,每层均需要经过薄膜沉积工
艺步骤,催生出更多设备需求。

     综上,集成电路尺寸及线宽的缩小、产品结构的立体化及生产工艺的复杂化
等因素都对半导体设备行业提出了更高的要求和更多的需求,并为以薄膜沉积设
备为代表的核心装备的发展提供了广阔的市场空间。

     (3)中国成为全球半导体产能重心,推动国内设备市场规模增长

     作为全球最大的半导体消费市场,我国对半导体器件产品的需求持续旺盛,
中国半导体市场规模 2010 年至 2020 年年均复合增长率为 19.91%。市场需求带
动全球产能中心逐步向中国大陆转移,持续的产能转移带动了大陆半导体整体产
业规模和技术水平的提高。

     晶圆厂在中国大陆地区建厂、扩产,为半导体设备行业提供了巨大的市场空
间。2020 年中国大陆地区半导体设备销售额为 187.2 亿美元,首次成为全球规模
最大的半导体设备市场。

     (4)国际竞争日趋激烈,国家政策大力支持

     在国际竞争背景下,半导体产业的技术及生产水平,牵动众多对国民经济造
成重大影响的行业。因此,全球主要经济体如美国、欧洲、日本、韩国均推出由
政府支持的半导体产业发展计划,吸引国际厂商或扶持本土企业扩大在本国的半
导体产线投资。国家之间面临激烈竞争,为各国半导体设备企业带来巨大的发展
机会。

     近年来,我国不断出台包含税收减免、人才培养、科研支持、投资鼓励、产
业协同等方面在内的多项半导体行业支持政策,鼓励国内半导体行业内企业向更
先进技术水平、更广泛市场领域、更底层核心技术等方面砥砺前行,完善和发展
我国半导体产业水平。对于半导体设备行业,这既是前途广阔的市场机会,也是
责无旁贷的历史使命。

     2、面临的挑战



                                 1-1-137
拓荆科技股份有限公司                                                   招股说明书



     (1)高端技术人才的缺乏

     半导体设备行业属于典型技术密集型行业,对于技术人员的知识结构、研发
能力及产线经验积累均有较高要求。由于国内半导体生产设备领域研发起步较
晚,行业内高端技术人才较为缺乏,先进技术及经验积累较少,在一定程度上制
约了行业的快速发展。

     (2)国际市场认可度仍需积累

     近年来国内薄膜沉积设备厂商已在客户触达方面做出许多有利尝试,与知名
晶圆厂形成了较为稳定的合作关系,但全球半导体薄膜沉积设备市场长期被国际
巨头垄断,其在经营规模和市场认可度上存在优势。客户在选择薄膜沉积设备供
应商时仍会考虑行业巨头所带来的便捷性与可靠性,存在一定程度的惯性和粘
性,国产半导体设备厂商在与其竞争过程中面临较大的压力和挑战,市场认可度
仍待进一步积累。

三、发行人的销售情况和主要客户

     (一)主要产品销售情况

     1、主要产品产能、产量、销量情况

     公司在与客户签订订单或基本明确客户的采购需求和采购意向后,进行定制
化设计及生产制造,以应对客户的差异化需求。因此,公司产能具有一定弹性,
能够根据订单情况灵活地安排人工、原材料采购等完成生产任务。下游晶圆厂产
能扩张,可能会导致公司面临设备订单快速增长的局面,公司短期生产人员配备
及上游供应商原材料的短期供货能力等因素会在一定程度约束公司的生产能力。

     报告期内,公司薄膜沉积设备,各类产品的产量和销量情况如下:

 产品类别         项目     2021 年 1-9 月      2020 年度   2019 年度   2018 年度

              产量(台)        49                50          22          9

 PECVD        销量(台)        23                31          19          4

                 产销率       46.94%            62.00%      86.36%      44.44%

   ALD        产量(台)         1                1           0           0


                                     1-1-138
拓荆科技股份有限公司                                                      招股说明书



 产品类别         项目     2021 年 1-9 月       2020 年度     2019 年度   2018 年度

              销量(台)         0                 0              0           1

                 产销率       0.00%              0.00%          0.00%      不适用

              产量(台)         3                 3              1           0

 SACVD        销量(台)         1                 1              0           0

                 产销率       33.33%             33.33%         0.00%      0.00%
注:公司的每台薄膜沉积设备,由 1 个平台(TM)和多个反应腔(PM)组成。上表中的产
量(台)和销量(台),是根据当年生产或出售的平台数量计算。若单独投产反应腔,或单
独销售反应腔,则不计算产量(台)和销量(台)。

     报告期内,公司产销率低于 100%,主要由于公司的产品,从生产完成并发
货,到客户验收完成销售之间,存在一定时长验收周期,使得产量大于销量。

     2、主要产品销售收入情况

     报告期内,公司主营业务收入按产品构成的情况,参见本招股说明书“第八
节 财务会计信息与管理层分析” 之“十一、经营成果分析”之“(二)营业收
入分析”之“2、主营业务收入按产品分析”。

     公司各产品类的销售额与每年销量的变动趋势一致。其中,2020 年度,公
司 ALD 设备存在销售额,但没有销量的原因为,2020 年 ALD 销售,是对 2018
年销售的 ALD 设备的腔体升级服务,因此不计算销量。

     3、主要客户群体

               产品类型                                     主要客户
                                       中芯国际、华虹集团、长江存储、重庆万国半导
              PECVD 设备
                                                     体科技有限公司
               ALD 设备                                      ICRD

              SACVD 设备                         北京燕东微电子科技有限公司


     4、主要产品价格变动情况

     报告期内,公司主要产品销售价格变动具体情况参见本招股说明书“第八节
财务会计信息与管理层分析” 之“十一、经营成果分析”之“(二)营业收入
分析。”



                                      1-1-139
拓荆科技股份有限公司                                                       招股说明书



     5、各类销售模式收入情况

     报告期内,公司各类销售模式的收入具体情况参见本招股说明书“第八节
财务会计信息与管理层分析” 之“十一、经营成果分析”之“(二)营业收入
分析。”

     (二)报告期内主要客户

     1、报告期内公司的前五大客户

     报告期内,公司各期向前五名客户的主营业务销售情况如下:

                                                   主营业务收入金额     占当期主营业
 期间      序号              客户名称
                                                       (万元)           务收入比例
            1     中芯国际                                  10,484.54         28.80%

            2     北京屹唐科技有限公司                      10,231.40         28.11%

2021 年     3     长江存储科技有限责任公司                   6,109.99         16.79%
 1-9 月     4     华虹集团                                   3,598.00          9.88%

            5     睿力集成电路有限公司                       3,221.87          8.85%

                               合计                         33,645.79         92.44%

            1     中芯国际                                  19,608.70         45.73%

            2     长江存储科技有限责任公司                   8,218.48         19.17%

            3     华虹集团                                   4,629.22         10.80%
2020 年
            4     合肥晶合集成电路有限公司                   1,895.99          4.42%

            5     广州粤芯半导体技术有限公司                 1,570.12          3.66%

                               合计                         35,922.51         83.78%

            1     中芯国际                                   6,710.00         27.09%

            2     北京晶瑞                                   5,757.15         23.24%

            3     北京燕东微电子股份有限公司                 4,100.00         16.55%
2019 年
            4     华虹集团                                   2,873.60         11.60%

            5     长江存储科技有限责任公司                   1,373.15          5.54%

                               合计                         20,813.90         84.02%

            1     重庆万国半导体科技有限公司                 2,694.44         40.64%
2018 年
            2     长江存储科技有限责任公司                   1,494.84         22.55%

                                         1-1-140
拓荆科技股份有限公司                                                           招股说明书


                                                     主营业务收入金额      占当期主营业
 期间      序号               客户名称
                                                         (万元)            务收入比例
            3      华虹集团                                     1,459.58          22.02%

            4      中芯国际                                      981.00           14.80%

                                合计                            6,629.86         100.00%
注 1:报告期内,对于受同一控制人控制的客户,公司合并计算对其销售额,上表具体包括:
①中芯国际:中芯国际集成电路制造(天津)有限公司、中芯北方集成电路制造(北京)有
限公司和中芯国际集成电路制造(深圳)有限公司;②华虹集团:上海华力集成电路制造有
限公司、上海集成电路研发中心有限公司、华虹半导体(无锡)有限公司;③北京晶瑞;北
京晶瑞科技有限公司和 Global fundamental limited。
注 2:上表销售金额为主营业务收入金额。
注 3:睿力集成电路有限公司为长鑫存储技术有限公司母公司。

       2、公司的客户集中度分析

     报告期内,公司不存在向单个客户的销售比例超过主营业务收入 50%的情
形。

     报告期内,公司各期前五大客户主营业务收入金额占当年主营业收入额的占
比较高,分别为 100.00%、84.02%、83.78%和 92.44%,占比较高,具体原因及
相关影响分析如下:

     (1)客户集中度高的原因,与行业特点一致

     ①下游集成电路行业集中度高

     由于集成电路制造行业因资本投入大、技术难度高,国内外主要集成电路制

造商均呈现经营规模大但数量少的行业特征,导致发行人下游客户所处行业的集

中度较高。根据 IC Insights 发布的《全球晶圆产能 2021-2025》报告,根据晶圆

尺寸划分的产能,截至 2020 年 12 月,全球前 10 大晶圆厂产能分别占全球 300mm、

200mm 晶圆总产能的 91%和 54%。

     ②同行业可比公司集中度高

     公司的国内同行业可比公司中,2018 至 2020 年前五大客户集中度如下:

        公司名称              2020 年度             2019 年度              2018 年度

        中微公司                       59.65%              67.51%                 60.55%


                                          1-1-141
拓荆科技股份有限公司                                                        招股说明书



       公司名称            2020 年度             2019 年度            2018 年度

       芯 源 微                   54.33%                45.61%                 57.07%

       盛美上海                   83.36%                87.33%                 92.49%

 华海清科(拟上市)               85.71%                94.06%                 99.09%

        平均值                    70.76%                73.63%                77.30%

         公司                     83.78%                84.02%               100.00%
注:2021 年 1-9 月,可比公司未披露前五大客户数据

     由上表可知,公司同行业可比公司,同样具有客户集中度高的特点。

     (2)发行人客户在其行业中的地位、透明度与经营状况

     报告期内,发行人累计销售额超 10,000 万元的主要客户有中芯国际、华虹
集团、长江存储等,其在行业中的地位、透明度和经营状况如下:

客户名称     经营状况             透明度                         行业地位
                                                     根据 IC Insights 公布的 2020 年纯
                        香港联交所和上交所科创板     晶圆代工行业全球市场销售额排
中芯国际    良好
                        两地上市公司                 名,中芯国际位居全球第 4 位,在
                                                     中国大陆企业中排名第 1 位。
                      下属华虹半导体有限公司为
                                                 根据 IC Insights 公布的 2020 年全
                      港交所上市公司(股票代码
华虹集团 良好                                    球纯晶圆代工行业排名,华虹集团
                      01347),且其为上海市属国
                                                 位居全球第 5 位,中国大陆第 2 位。
                      有企业
                      非上市公司,由紫光集团、国
                      家集成电路产业投资基金、湖 2020 年 第 三 季 度 的 收 入 占 全 球
长江存储 良好         北集成电路产业投资基金、湖 NAND 闪存市场的比例超过 1%,
                      北省科技投资集团共同出资 位列全球第 7 位,国内排名第 1 位。
                      组建
资料来源:各公司公告、CFM 闪存市场公开数据、Chipinsights 数据

     由上表可知,发行人主要客户行业地位均排名前列,透明度较高,经营状况
良好,主要客户自身不存在重大不确定性风险。

     (3)公司与客户合作的历史、业务稳定性及可持续性,相关交易的定价原
则及公允性

     报告期内,公司与主要客户的合作历史,业务稳定性及可持续性,相关交易
定价原则和公允性如下:




                                       1-1-142
拓荆科技股份有限公司                                                     招股说明书


                                                               相关交易的定价
客户名称         合作的历史       业务稳定性及可持续性
                                                                 原则及公允性
            2011 年,公司首台                                发行人向中芯国际的
            12 英寸 PECVD 出厂 2018 至 2020 年度,公司向中芯 设备销售由双方协商
            到中芯国际验证,于 国际的销售收入逐年增长,且中 定价。基于长期合作的
            2013 年通过产品线  芯国际 2020 年度完成科创板 关系,除小部分设备接
中芯国际
            测试。2014 年获得  IPO,募集资金计划中对生产设 受了较优惠的报价外,
            中芯国际首台量 产  备预算高达 733,016 万美元,业 与销售给其他客户的
            机台 PF-300T 的设  务稳定性及可持续性强。        价格无明显差异,定价
            备订单。                                         公允。
            2017 年 6 月,公司
            向上海华力微电 子 2018 至 2020 年度,公司向华虹
            有限公司和 ICRD 各 集团的销售收入逐年增长。2019
            发出一台设备。2019 年华虹无锡 155nm 工艺正式投     主要通过招投标定价,
华虹集团
            年度向上海华力 集 产,一期项目总投资约 25 亿美     定价公允。
            成电路制造有限 公 元,月产能 1 万片。
            司和华虹无锡各 发 相关业务稳定性及可持续性强。
            出一台设备。
            2015 年度,公司向
            长江存储子公司 武 2018 至 2020 年度,公司向长江
            汉新芯集成电路 制 存储的销售收入逐年增长。长江
            造有限公司发出 第 存储国家存储器基地项目二期       主要通过招投标定价,
长江存储
            一台设备。         规划产能 20 万片/月。二期厂房   定价公允。
            2016 年,公司向长 已于 2020 年动工。因此,相关
            江存储发出第一 台 业务稳定性及可持续性强。
            设备。
资料来源:各公司公告、中银证券研究报告

     (4)公司与重大客户的关联关系,发行人的业务获取方式,发行人是否具
备独立面向市场获取业务的能力

     报告期内,公司与前五大客户的关联关系如下:

     公司原董事王军(2017 年 9 月 6 日卸任拓荆有限董事)曾担任中芯北方集
成电路制造(北京)有限公司的董事,王军已于 2018 年 6 月卸任中芯北方集成
电路制造(北京)有限公司董事。因此,2018 年 9 月 6 日以前,中芯北方集成
电路制造(北京)有限公司是公司的关联方。除前述情况外,发行人与前五大客
户不存在关联关系。

     2018 年 1 月 1 日至 2018 年 9 月 6 日,公司仅向中芯北方集成电路制造(北
京)有限公司销售加热带和喷淋板等原材料。2018 年 9 月 6 日以后,公司与中
芯北方集成电路制造(北京)有限公司继续保持稳定的合作关系,并向中芯北方
集成电路制造(北京)有限公司销售薄膜沉积设备,销售收入逐年增长。


                                     1-1-143
拓荆科技股份有限公司                                                          招股说明书



     公司获取业务主要通过商务洽谈或公开招投标的方式,对独立性不存在影
响。

     半导体设备的研发生产需要建立一支基础知识储备深厚、产线验证经验丰富
的高水平研发团队。一款产品从研发到产品定型需要经过方案设计、研发样机制
造、参数测试、产业化验证、工艺改进等多道流程,时间周期长,研发投入大,
物料成本高。集成电路晶圆制造工序复杂,半导体设备的产业化应用需要经过不
同客户多道工艺验证方可实现规模销售。发行人薄膜沉积设备已在包括中芯国
际、华虹集团、长江存储等集成电路制造商的大生产线上验证通过并量产应用,
证明发行人产品性能和稳定性已满足主流集成电路厂商的要求。发行人独立开拓
上述客户,证明发行人具备独立面向市场获取业务的能力。

     因此,公司具备独立面向市场获取业务的能力。

       3、既有采购又有销售的交易对手

     报告期内,公司存在部分既有采购又有销售的交易对手,具体情况如下:

     (1)采购与销售交易额均较大的交易对手

     ①ICRD

     报告期内,公司与 ICRD 的交易情况如下:

                                                                            单位:万元
                                                     交易金额
交易性质         交易内容       2021 年
                                              2020 年度     2019 年度       2018 年度
                                 1-9 月
  采购         工艺测试服务               -      2,200.00               -        700.00

  销售       薄膜沉积设备销售             -      4,629.22               -       1,459.58


     报告期内,公司向 ICRD 出售薄膜沉积设备,同时接受 ICRD 的工艺测试服
务。

     ICRD 成立于 2002 年,是国家支持组建、产学研合作的国家级集成电路研发
中心,由中国集成电路相关企业集团和高校联合投资组建而成,是一个独立的面
向全行业集成电路企业、大学及研究所开放的公共研发机构。主要功能包括:为


                                    1-1-144
拓荆科技股份有限公司                                                         招股说明书



集成电路企业和研发单位提供先进器件及工艺技术的前期研发和产品级验证;为
集成电路装备和材料提供研制到上线的验证和工艺配套;为集成电路生产线升级
提供知识产权和技术转移;为国内设计企业研制芯片提供特色工艺和共享 IP 核
服务。

     ICRD 致力于解决重大共性技术的研发及服务支撑问题,并为自主可控产业
链建设提供公共的装备和材料验证平台。为此,ICRD 向多家半导体设备企业采
购半导体设备,包括盛美上海、华海清科和公司,用以配备相对完整的产线,提
高自身的研发能力及验证测试服务质量。因此,公司在报告期内向 ICRD 销售薄
膜沉积设备,具有商业合理性。

     另一方面,报告期内,公司研发了多项新工艺,如 ACHM、LokⅠ、ADCⅠ
等。公司在研发新工艺、新产品时,也需要外部机构能够对公司的研发成果进行
验证,以更好地推进公司的产品研发及产业化进程。而 ICRD 作为国家级集成电
路研发中心,具备为公司提供先进工艺的验证条件和技术实力。因此,公司向
ICRD 采购工艺测试服务,具有商业合理性。

     综上所述,公司与 ICRD 的采购交易和销售交易,具有商业合理性。

     ②北京晶瑞科技有限公司和 Global fundamental limited

     北京晶瑞科技有限公司和 Global fundamental limited 属于同一控制,均为公
司的经销商客户。北京晶瑞科技有限公司还同时为公司的原材料供应商。

     报告期内,公司与北京晶瑞科技有限公司和 Global fundamental limited 的交
易情况如下(按合并口径计算):

                                                                           单位:万元
                                                     交易金额
交易性质         交易内容       2021 年
                                              2020 年度     2019 年度      2018 年度
                                 1-9 月
  采购          原材料采购         970.51         367.15         178.05          74.32
               薄膜沉积设备销
  销售                                    -      1,496.15       5,757.15               -
             售、备品备件销售

     北京晶瑞科技有限公司成立于 2014 年,主要经营半导体核心自动化装备、
半导体二手机台业务、EFEM、加热带等业务。

                                    1-1-145
拓荆科技股份有限公司                                            招股说明书



     北京晶瑞科技有限公司的总经理、首席技术官、总顾问、市场总监等职工具
有在晶圆代工大厂的从业经历,因此,北京晶瑞的管理层具有丰富的半导体行业
市场资源。

     2016 年度,公司通过国家重大专项“90-65nm 等离子体增强化学气相沉积
设备研发与应用”项目的验收,公司产品走向成熟,但公司存量客户数量较少,
营销人员有限,因此公司尝试通过经销模式开展业务。2017 年,公司和北京晶
瑞科技有限公司、Global fundamental limited 两家经销商签署了渠道销售协议,
公司将机台销售给经销商,由经销商自主定价后销售给下游终端客户。

     经销模式下,公司报告期内在原通过经销模式间接销售的四家终端客户中,
已对其中两家实现了直销,成功拓展了公司的客户资源和销售渠道。

     另一方面,公司的客户于 2017 年末提出定制化需求,需要加热带这项零部
件。基于客户的定制化需求和公司对北京晶瑞科技有限公司的了解,公司将北京
晶瑞科技有限公司引入为原材料供应商,并于 2017 年 11 月开始向北京晶瑞科技
有限公司采购原材料。

     综上所述,公司与北京晶瑞科技有限公司之间既有采购交易、又有销售交易,
具有商业合理性。

     ③中微公司及其子公司

     报告期内,公司与中微公司及其子公司既发生了采购交易,也发生了销售交
易,详细信息请参见本招股说明书之“第七节 公司治理与独立性”之“十一、
关联方、关联关系及关联交易”之“(二)报告期内关联交易情况”之“1、经
常性关联交易”。

     (2)发生零星销售业务的供应商

     报告期内,公司与部分供应商之间发生了零星销售业务,具体情况如下:




                                 1-1-146
拓荆科技股份有限公司                                                                              招股说明书


                                                                                                 单位:万元
                                                                          交易金额
                       交易
    交易对手                       交易内容         2021 年             2020          2019           2018
                       性质
                                                     1-9 月             年度          年度           年度
                       采购     原材料采购              1,393.81         821.20       307.05          87.30
    沈阳富创
                       销售     备品备件销售                     -               -      6.87                -

上海大族富创得科       采购     原材料采购               124.00          186.80       252.00          62.00
  技有限公司           销售     办公室出租                 3.46            5.85         6.87            7.05
                                原材料采购、
靖江先锋半导体科       采购                             1,002.34         682.93       430.22         507.91
                                加工
  技有限公司
                       销售     备品备件销售                     -               -      7.96                -

苏州冠韵威电子技       采购     原材料采购              4,400.83       2,878.48      1,320.01        941.76
  术有限公司           销售     备品备件销售                     -         3.85        13.01                -
                                原材料采购、
爱利彼半导体设备       采购                             2,176.38       1,253.83       575.94         453.40
                                加工
(中国)有限公司
                       销售     备品备件销售                     -               -      6.65                -

上海羽辰电子科技       采购     原材料采购                56.79           52.59       160.82         262.52
    有限公司           销售     备品备件销售                     -               -      3.05            5.69

苏州珂玛材料科技       采购     原材料采购               375.35          312.80       154.71          72.03
  股份有限公司         销售     备品备件销售               5.36                  -           -              -


     报告期内,以上交易对手主要是以公司供应商的角色与公司发生业务往来,
公司向以上供应商销售的金额较小。以上供应商在与公司合作的期间内,存在少
量原材料或办公室租赁的需求,恰巧公司可以满足,因此发生了零星销售业务。

     (3)发生零星采购业务的客户

     报告期内,公司与部分客户之间发生了零星采购业务,具体情况如下:

                                                                                                 单位:万元
                                                                         交易金额
                  交易
   交易对手                      交易内容         2021 年                             2019           2018
                  性质                                               2020 年度
                                                   1-9 月                             年度           年度
 上海华力集成                 办公室/工位租
                  采购                                   2.46             9.83          9.79                -
 电路制造有限                 赁
     公司         销售        薄膜沉积设备                   -          820.00               -              -
联芯集成电路                  办公室/工位租
                  采购                                   0.69             0.68          0.90                -
制造(厦门)有                赁

                                              1-1-147
拓荆科技股份有限公司                                                               招股说明书



                                                               交易金额
                    交易
   交易对手                   交易内容       2021 年                    2019          2018
                    性质                                   2020 年度
                                              1-9 月                    年度          年度
      限公司               薄膜沉积设备、
                    销售                           30.01      870.60           -             -
                           备品备件销售
                           洁净服使用费、
                           洁净服清洗费、
                    采购                            5.23        2.83      4.58         15.41
                           测试服务、办公
   中芯国际
                           室/工位租赁
                           薄膜沉积设备、
                    销售                     10,686.55     19,985.19   6,834.70      1,106.16
                           备品备件销售

       报告期内,以上交易对手主要是以公司客户的角色与公司发生业务往来。公
司为了能够更便捷地向客户提供服务,在以上客户所在地附近向客户租赁了办公
用地,或使用了客户的洁净服,因此发生了相关的房租、使用费、清洗费等。报
告期内,公司向以上客户的采购额较小。

四、发行人的采购情况和主要供应商

       (一)采购情况

       1、主要原材料采购情况

       (1)基本情况

       报告期内,公司采购的原材料主要包括机械类、机电一体类、电气类、气体
输送系统类、真空系统类、附属设备等,各类别的原材料构成情况如下:

序号            类型                                   具体内容
                            陶瓷加工件、加热盘、腔体、密封件、喷淋头、配管零件、金属
  1            机械类
                            加工件等
                            射频电源、射频匹配器、远程等离子源、供电系统、电力输送及
  2            电气类
                            通讯系统、IO 输入输出模块
  3        机电一体类       EFEM、机械手、加热带等

  4      气体输送系统类     供气系统等

  5            附属设备     泵、LDS、热水机等

  6        真空系统类       真空门阀、真空节流阀、气体管路阀等

  7        仪器仪表类       气体测量仪器、液体流量控制器、压力控制器等

  8        二次配设施       电力系统、工艺气体系统等



                                         1-1-148
    拓荆科技股份有限公司                                                                  招股说明书



     序号          类型                                        具体内容

      9         气动系统类          阀门、接头、气管等

      10        工艺材料类          硅片、气源等

      11           其他             耗材、标签、说明书等


            报告期内,公司各类原材料采购金额及其占当期原材料采购总额的比例如下
    所示:

                                                                                         单位:万元
                 2021 年 1-9 月              2020 年                 2019 年                 2018 年
  项目
                金额         占比        金额         占比       金额       占比         金额          占比

 机械类       19,733.70     28.20%     11,882.05     25.29%     5,591.00    27.69%      4,395.79    23.00%

 电气类       19,185.40     27.42%     11,343.25     24.14%     5,129.39    25.40%      4,747.43    24.84%

机电一体类     8,357.90     11.94%      7,698.45     16.38%     3,270.08    16.19%      2,485.16    13.00%
气体输送系
               5,712.30      8.16%      3,522.71       7.50%    1,775.99       8.79%    1,280.83       6.70%
  统类
真空系统类     5,117.55      7.31%      3,226.29       6.87%    1,209.23       5.99%    1,079.20       5.65%

 附属设备      3,115.62      4.45%      3,163.55       6.73%     873.58        4.33%    1,652.58       8.65%

仪器仪表类     3,668.89      5.24%      2,975.07       6.33%     934.60        4.63%    1,435.61       7.51%

二次配设施       849.81      1.21%      1,209.56       2.57%      94.39        0.47%     671.12        3.51%

工艺材料类     1,282.26      1.83%       860.49        1.83%     664.21        3.29%     786.93        4.12%

气动系统类       838.31      1.20%       545.38        1.16%     255.23        1.26%     128.97        0.67%

  其他         2,116.62      3.02%       562.43        1.20%     396.78        1.96%     446.61        2.34%

  总计        69,978.35    100.00%     46,989.23    100.00%    20,194.48   100.00%     19,110.23   100.00%


            报告期内,公司采购量总体随公司营业收入规模增长。2019 年度,公司采
    购金额较 2018 年度增长 5.67%,增长幅度相比营业收入的增长幅度较小。其中,
    附属设备、仪器仪表类、二次配设施和工艺材料类的 2019 年度采购金额小于 2018
    年度。其主要原因如下:

            2018 年度,公司大力推动产品的产业化验证,demo 机台较多。公司在 2018
    年度根据与客户沟通的相关需求进行了备货,但部分 demo 机台在验证过程中,
    客户更改了个别非标准模块配置的需求,使得部分原材料未在 2018 年度被领用


                                                   1-1-149
拓荆科技股份有限公司                                                             招股说明书



并于当年末结存。

     上述在客户验证过程中被更改的个别非标准模块的配置,对应的原材料包括
附属设备、二次配设施和气体测量仪器(仪器仪表类)等。此外,2018 年度,
公司研发所需的硅片(工艺材料类)投入较大。因此,2019 年度,公司附属设
备、仪器仪表类、二次配设施和工艺材料类原材料的采购金额小于 2018 年度。

     (2)主要原材料的价格变动趋势

     报告期内,公司主要原材料的采购价格变动情况如下:

                                                              价格指数
      原材料名称            所属大类         2021 年       2020      2019           2018
                                              1-9 月       年度      年度           年度
       供气系统          气体输送系统类            99.91   100.43        99.04      100.00

  大气及真空传输系统       机电一体类              81.40    94.08        96.88      100.00

射频系统及等离子体源         电气类                82.95    88.95    100.26         100.00

      陶瓷加工件             机械类                64.73    83.53        91.09      100.00

       真空门阀            真空系统类              75.53    81.56        90.66      100.00

     气体测量仪器          仪器仪表类              96.19    98.17    102.63         100.00

       供电系统              电气类                74.40    89.61        89.84      100.00

  电力输送及通讯系统         电气类               100.25   112.16    100.58         100.00

       加热盘 A              机械类                83.18    94.73    101.44         100.00

           泵               附属设备               81.66   101.77        96.94      100.00

         反应腔              机械类                78.25    88.70    101.85         100.00

         传输腔              机械类                80.90    84.12        95.70      100.00
注:假设 2018 年价格指数设为 100,2019 年及 2020 年和 2021 年 1-9 月的价格指数以 2018
年采购均价为基数进行计算。

     2、主要能源耗用情况

     公司消耗的主要能源为电力。报告期内,公司所在地的能源供应充足,价格
总体稳定,2020 年度,受疫情的电费政策影响,电费单价相对较低。报告期内,
公司主要能源采购情况如下表所示:



                                        1-1-150
拓荆科技股份有限公司                                                                  招股说明书



           项目               2021 年 1-9 月       2020 年度       2019 年度         2018 年度

        电费(万元)                   255.23             279.64        335.33            336.81

  用电量(万千瓦时)                   493.04             567.66        560.73            517.46

 平均单价(元/千瓦时)                     0.52             0.49          0.60              0.65


     (二)报告期内主要供应商

     报告期内,公司不存在向单个供应商的采购比例超过总额 50%的情形,或严
重依赖于少数供应商的情形。公司的前五名供应商中,不存在新增供应商。报告
期内,公司向前五名供应商的采购情况如下:

                                                                       采购金额       占当期总采
 期间       序号          供应商名称               主要采购内容
                                                                       (万元)         购额比例
              1            万机仪器                      电气类           8,816.15        12.60%
                   超科林微电子设备
              2                                   气体输送系统类          6,070.08         8.67%
                   (上海)有限公司
                   苏州冠韵威电子技术
2021 年       3                                          电气类           4,400.83         6.29%
                       有限公司
 1-9 月
              4        Rorze Corporation            机电一体类            4,120.95         5.89%
                       Brooks Automation,
              5                                          机械类           3,448.90         4.93%
                              Inc.
                             合计                                        26,856.90        38.38%

              1            万机仪器                      电气类           5,635.80        11.99%
                       超科林微电子设备
              2                                   气体输送系统类          3,836.76         8.17%
                       (上海)有限公司

 2020
              3        Rorze Corporation            机电一体类            3,746.60         7.97%
 年度              苏州冠韵威电子技术
              4                                          电气类           2,878.48         6.13%
                       有限公司
                   Brooks Automation,
              5                                     机电一体类            2,581.50         5.49%
                           Inc.
                             合计                                        18,679.14        39.75%
                       超科林微电子设备
              1                                   气体输送系统类          1,931.28         9.56%
                       (上海)有限公司
              2         XP POWER LLC                     电气类           1,782.83         8.83%

 2019         3        Rorze Corporation            机电一体类            1,429.48         7.08%
 年度
              4            万机仪器                      电气类           1,368.41         6.78%
                   苏州冠韵威电子技术
              5                                          电气类           1,320.01         6.54%
                       有限公司
                             合计                                         7,832.01        38.78%


                                               1-1-151
拓荆科技股份有限公司                                                                 招股说明书


                                                                        采购金额     占当期总采
期间      序号           供应商名称            主要采购内容
                                                                        (万元)       购额比例
            1          XP POWER LLC                  电气类               2,171.30        11.36%
                       超科林微电子设备
            2                                气体输送系统类               1,481.76         7.75%
                       (上海)有限公司
2018        3             万机仪器                   电气类               1,282.68         6.71%
年度
            4          Rorze Corporation        机电一体类                1,256.28         6.57%

            5              Ferrotec                  机械类               1,196.70         6.26%

                             合计                                         7,388.72       38.66%
注:报告期内,对于受同一控制人控制的供应商,公司合并计算对其采购额,具体包括:①
万机仪器包括:万机仪器(中国)有限公司、万机仪器(上海)有限公司、万机仪器(香港)
有限公司;②苏州冠韵威电子技术有限公司包括:苏州冠韵威电子技术有限公司和苏州万琦
威电子技术有限公司;③Ferrotec 包括:杭州大和热磁电子有限公司、杭州大和江东新材料
科技有限公司、2020 年度还包括杭州中欣晶圆半导体股份有限公司,2021 年 1-9 月,杭州
中欣晶圆半导体股份有限公司不再受 Ferrotec 控制;④XP POWER LLC 包括:XP POWER
LLC 和 Comdel Beijing Customer Support LLC;⑤Rorze Corporation 包括:Rorze Corporation、
乐孜贸易(上海)有限公司和乐孜芯创自动化设备(上海)有限公司。其中,乐孜贸易(上
海)有限公司于 2020 年 3 月 12 日变更公司名称为乐孜芯创自动化设备(上海)有限公司。


五、发行人的核心技术情况

     (一)核心技术

     1、发行人拥有的核心技术

     公司拥有的核心技术情况如下:

                                                      技术             应用和贡献情况
    核心技术名称             专利及其他保护措施
                                                      水平    PECVD        ALD        SACVD
先进薄膜工艺设备设计        已授权发明专利 1 项       国际
                                                              已量产       已量产       已量产
        技术                申请中发明专利 2 项       先进
                            已授权发明专利 5 项       国际
反应模块架构布局技术                                          已量产       已量产       已量产
                            申请中发明专利 2 项       先进
半导体制造系统高产能        已授权发明专利 11 项      国际
                                                              已量产       已量产       已量产
      平台技术              申请中发明专利 1 项       先进
                            已授权发明专利 2 项       国际
等离子体稳定控制技术                                          已量产       已量产         -
                            申请中发明专利 5 项       先进
反应腔腔内关键件设计        已授权发明专利 19 项      国际
                                                              已量产       已量产       已量产
        技术                申请中发明专利 8 项       先进
半导体沉积设备气体输        已授权发明专利 2 项       国际
                                                              已量产       已量产       已量产
    运控制系统              申请中发明专利 7 项       先进
气体高速转换系统设计                                  国际
                             已授权发明专利 3 项              已量产       已量产         -
        技术                                          先进

                                           1-1-152
拓荆科技股份有限公司                                                   招股说明书



                                              技术        应用和贡献情况
    核心技术名称       专利及其他保护措施
                                              水平   PECVD     ALD      SACVD
                        已授权发明专利 10 项 国际
 反应腔温度控制技术                                 已量产    已量产    已量产
                        申请中发明专利 7 项 先进
备注:量产,指设备已通过客户端工艺验证,实现首台销售,发行人可批量生产以应用于客
户产线。

     除反应模块架构布局技术和半导体制造系统高产能平台技术中的 3 项发明
专利来源于核心技术人员出资外,发行人核心技术来源于自主研发。

     2、发行人的技术先进性及具体表征

     (1)先进薄膜工艺设备设计技术

     随着愈加先进的逻辑和存储芯片的制造需要,更多先进薄膜材料会被应用于
晶圆制造工艺中,例如低 k 和超低 k 材料(LokⅠ、LokⅡ)、新型阻挡层(如
ADCⅠ)、新型硬掩模 (如 ACHM),以及 3D NAND 存储器中的 ONON 堆
栈。发行人开发的先进薄膜工艺设备设计技术,凭借对于反应腔进行必要的设计
提升,从而实现所需要的薄膜性能。

     沉积低 k 类材料时,由于使用的含碳的前驱体,成膜过程对反应腔内环境及
气路环境敏感。发行人该技术通过对射频功率液态源气化速率的精准控制,保障
了所沉积薄膜的低介电性能和薄膜硬度。

     新型阻挡层(如 ADCⅠ)是配合低介电材料使用的阻挡层。发行人该技术
通过对沉积过程中各反应源浓度的均匀性和浓度本身的精准控制,反应气体的输
运速率控制,配合射频功率的升降控制,使反应材料达到恰当的比例,实现所沉
积薄膜性能达到要求的低介电性、密封性、均匀性。

     在沉积新型硬掩模(如 ACHM)时,一种边缘隔离环会被用于沉积站的晶
圆中心并覆盖其边缘,从而防止晶体边缘出现沉积。发行人的设计既能保证晶圆
定位的准确,又能避免因晶圆的侧边与陶瓷环接触而产生颗粒及因薄膜边缘过厚
而产生颗粒。

     在 3D NAND 或其他领域中,氧化硅/氮化硅的堆栈薄膜通常用来制备存储
结构的主体。先进制程已可达获得两百层以上的堆栈。发行人该项技术包含了薄


                                    1-1-153
拓荆科技股份有限公司                                            招股说明书



膜沉积反应腔设计,及其配套沉积工艺和腔体清洗工艺。主要目的是解决 ONON
叠层沉积过程中,由于连续多次沉积引起的反应物在腔体内部表面附着力降低而
导致的颗粒污染。发行人该项技术通过对反应腔内表面温度的精确控制及反应环
境化学成分控制,有效降低了颗粒污染的产生。

     (2)反应模块架构布局技术

     反应模块架构布局技术应用于 PECVD 设备、ALD 设备和 SACVD 设备,架
构包含了双站型和多站型等布局的处理腔室,是薄膜沉积设备的反应模块技术。

     该技术可以在保证均匀一致性的情况下提高产能,还可以实现在一台设备上
进行多种工艺的组合。这种多腔体的设备取代了仅处理单个晶圆并随后再将此晶
圆传递至另一反应腔期间内使此晶圆暴露于空气的作法。藉由将多个反应腔连接
到一共同的传片平台,使得晶圆在一个反应腔完成处理后,可在相同的真空环境
下,将此晶圆传递至下一个反应腔进行处理。

     关于双站型产品,模块式搭建,由一个传片平台搭配最多三个双站型反应腔,
每次可处理 6 片晶圆。每个反应腔内有两个独立的反应站,该技术通过反应站之
间设置环境匹配通道,以实现两个相互独立的反应站共用气体输运控制和压力控
制,从而实现各反应站内薄膜的一致性。

     关于多站型产品,模块式搭建,由一个传片平台搭配最多三个六站型反应腔,
每次可处理 18 片晶圆,提高生产效率。本技术可以解决特殊半导体制程中的产能
需求。每个反应站之间以活动隔离组件隔开,可实现独立控制和相对隔离控制,
进而实现反应站之间的独立性和一致性。

     (3)半导体制造系统高产能平台技术

     公司的半导体制造系统高产能平台包含大气传输系统(EFEM)、真空过渡
模块(LOADLOCK)、真空传输腔(Transfer Module)。大气传输系统主要功
能是把晶圆从晶圆盒传送到真空过渡腔。真空过渡腔和真空传输腔的设计是公司
的核心技术。可高效实施线上任务,有效缩短生产时间,提高薄膜沉积设备的生
产能力并有效降低颗粒污染。

     发行人设计的真空过渡模块是由两个独立可切换真空/大气状态的腔体组

                                 1-1-154
拓荆科技股份有限公司                                           招股说明书



成,每个腔体有一层或多层双片晶圆承载盘,是晶圆在大气状态下的 EFEM 和
真空状态下的反应腔之间传送的过渡区域。

     发行人设计的真空传输腔主要功能是在反应腔和真空过渡模块间进行晶圆
传送。真空传输腔内设计有双臂双层真空机械手,可同时进行四片晶圆的交换。

     (4)等离子体稳定控制技术

     等离子体化学气相沉积是指用等离子体激活反应气体,促进在晶圆表面或近
表面空间进行化学反应,生成固态膜的技术,等离子体的性能特征直接影响成膜
质量。等离子体的控制主要通过射频控制技术实现,主要应用于 PECVD 和 ALD
产品。

     公司的射频系统由射频发生器、匹配器、上下极板、射频回路,以及射频安
全屏蔽能模块构成。公司针对薄膜沉积反应特殊需求,通过对射频系统进行重新
设计和改进,将射频赋能等离子体过程控制在 10 毫秒等级。射频快速响应能够
使等离子体在最短时间内达到稳定状态,实现薄膜沉积厚度精准控制、膜厚均匀。

     (5)反应腔腔内关键件设计技术

     反应腔腔内关键件设计技术是通过针对反应腔内可能与晶圆接触的所有部
件的单独设计和联合设计,使得反映环境和工艺参数可以得到严格控制的技术。
关键件包含喷淋头,加热盘,腔内陶瓷件,抽气设置等,通过设计优化,实现反
应腔气流的均匀性、晶圆温度控制、反应环境的可控性和晶圆传输可靠性,可以
有效控制薄膜的性能、避免颗粒产生。

     例如,在有等离子体参与的工艺中,晶圆上会积累电荷,从而与其下方的基
座产生相互吸引的静电力。如果累积电荷量大到使静电力超过一限制范围,导致
晶圆变形,影响沉积薄膜的质量。发行人对腔内关键件与晶圆接触面、接触点的
设计,可以有效控制静电累积和消除,提高晶圆传送的精准度,增强设备的稳定
性和可靠性。

     (6)半导体沉积设备气体输运控制系统

     半导体 IC 制程中涉及多种反应物的气体输运,因为涉及流量分配,前驱气


                                 1-1-155
拓荆科技股份有限公司                                           招股说明书



体浓度差控制,这些差异会导致工艺表现出现巨大的差值。同时,气体输运设计
是确保气体分配的均衡,确保各反应站之间气体分布一致性。

     针对两站或多站型沉积工艺,各站相对独立。采用特别设计的分流机制进行
喷淋头的送气,保证各站对应连接管路的一致性,确保两站流量均衡,前驱体的
浓度均衡。

     例如,在 SACVD 产品中,由于工艺压力较高,单位时间内进入腔体内的原
料气体流量较大,其均匀混合问题更是复杂。通过旋涡式或喷射式的混气结构,
可达成次常压工艺条件下均匀的混气效果。

     (7)气体高速转换系统设计技术

     化学气体在反应腔内的分布直接影响薄膜沉积的均匀性、厚度及颗粒度。针
对 ALD 薄膜沉积和 3D NAND 堆叠薄膜 PECVD 沉积制程,化学气体或者化学
反应以脉冲方式分阶段进行。能否在下一反应时段启动之前把上一反应时段的各
化学前驱体从气路及反应腔内清除干净,形成快速气体切换,直接影响薄膜性能、
界面质量、颗粒污染及设备产能。发行人通过对气体输送系统中的流量控制、高
速阀门选型、管路设计及各部件对应的电控机制的设计,达到气体高速精准转换,
保障了薄膜性能,缩短了成膜周期,提高了机台的产能。

     (8)反应腔温度控制技术

     反应腔温度控制包含了针对反应腔体、气体通路、喷淋板,工艺加热装置和
泵气系统的温度管理,温度控制是设备长期稳定性和晶圆片间均匀性的关键影响
因素。

     反应腔体内部使用的加热盘使氮化铝陶瓷双区加热盘,可以通过改变内外区
的电流比来改变加热盘的温度分布。气体通路方面,原料气路经过的管路采用加
热结构,并形成正向温度梯度,进入喷淋板,再进入腔体。腔体内部,加热盘周
围安装陶瓷环,可以起到隔离射频和隔离过多的热量传输到腔体上。泵气系统的
加热设计方案可以有效防止颗粒的堆积,阻塞管道和部分器件失效问题。

     发行人反应腔温度控制技术,可以有效控制晶圆片间均匀性,提高设备的稳
定性、保障客户生产需求。

                                 1-1-156
拓荆科技股份有限公司                                                       招股说明书



     3、核心技术在主营业务及产品或服务中的应用和贡献情况

     公司核心技术广泛应用于主营业务中,报告期内,核心技术产品收入占营业
收入比例具体情况如下:

                                                                          单位:万元
     项目         2021 年 1-9 月   2020 年度     2019 年度    2018 年度    合计
核心技术产品
                       36,399.05    42,876.27     24,772.45    6,629.86    110,677.63
    收入
   营业收入            37,389.57    43,562.77     25,125.15    7,064.40    113,141.89
核心技术产品
                         97.35%       98.42%        98.60%      93.85%        97.82%
  收入占比

     公司营业收入主要由核心技术产品收入构成,随着核心技术产品收入增加而
快速增加。报告期内,公司核心技术产品收入占营业收入比重分别为 93.85%、
98.60%、98.42%、97.35%,报告期内合计占比为 97.82%。

     4、核心技术的保护措施

     (1)专利保护

     公司的核心技术为公司长远发展的关键。公司高度重视对核心技术的保护,
为加强对技术资料保密工作的统一管理,防止技术泄密,公司制定了《知识产权
管理制度》,建立了有效的知识产权保护管理体系。管理方面,公司尊重知识产
权,设立独立的知识产权管理部门对知识产权相关问题进行统一管理。专利申请
上,对产品进行有效的知识产权布局,保证公司的技术研发成果可以及时、高效
地申请知识产权保护。目前,公司已针对核心技术实施专利和技术秘密保护。

     (2)保密与竞业禁止制度

     公司建立了严格的保密制度,企业与员工签订劳动合同时,同时签订《员工
保密合同》、《竞业禁止承诺书》,明确保密的范围、手段及违约责任,以防止
因人员流动而造成泄密,致使企业遭受重大损失。

     (3)股权奖励

     为了建立长效激励机制,充分调动技术研发人员的积极性,吸引和留住优秀
人才,有效将股东利益、公司利益和技术研发人员个人利益相结合,使各方共同


                                       1-1-157
拓荆科技股份有限公司                                                              招股说明书



关注公司的长远发展,公司主要的技术研发人员均直接或间接持有公司的股票。

        (二)科研实力和成果情况

        1、公司获得重要荣誉、奖项

                                                             获得
序号       级别                     名称                                    颁发单位
                                                             年份
    1      国家         国家重点新产品-6 英寸 CC1-150        2011         科学技术部

    2      国家        国家重点新产品-12 英寸 PECVD          2014         科学技术部

    3      国家             国家知识产权优势企业             2017       国家知识产权局

    4      国家                中国专利优秀奖                2017       国家知识产权局

    5      国家         2019 年国家知识产权示范企业          2019       国家知识产权局

    6      省级           辽宁省科学技术进步一等奖           2017       辽宁省人民政府

    7      协会        2016 年中国半导体设备五强企业         2017     中国半导体行业协会
                   第十一届(2016 年度)中国半导体创新
    8      协会                                              2017     中国半导体行业协会
                               产品和技术
    9      协会        2017 年中国半导体设备五强企业         2018     中国半导体行业协会

 10        协会        2019 年中国半导体设备五强企业         2020     中国半导体行业协会

 11        协会         第二届集成电路产业技术创新奖         2019    中国集成电路创新联盟

 12        协会         第四届集成电路产业技术创新奖         2021    中国集成电路创新联盟


        2、公司承担的重大科研项目

        公司研发实力较为突出,承担了多项国家科技重大专项及其他省部级重大科
研项目,具体情况如下:

序                                                                     预算
             项目名称            部门       项目类别    实施周期                 进展情况
号                                                                   (万元)
        90-65nm 等离子体增强
                                           国家科技重   2008.12 至
1        化学气相沉积设备研     科技部                               35,763.02    完成验收
                                             大专项       2016.6
                发与应用
            1x nm 3D NAND                  国家科技重   2016.1 至
2                               科技部                               52,290.83    完成验收
        PECVD 研发及产业化                     大专项    2020.12
            1x nm 3D NAND       辽宁省     辽宁省科技   2019.1 至                已通过验收
3                                                                    7,012.77
        PECVD 研发及产业化      科技厅       重大专项     2020.6                   答辩
         国家科技重大专项课                国家科技重   2020.1 至
4                               科技部                                10,016      正在实施
          题 A(ALD 相关)                 大专项课题    2021.12
         国家科技重大专项课
                                           国家科技重   2020.1 至
5       题 B(先进工艺 PECVD    科技部                                18,013      正在实施
                                           大专项课题    2021.12
                相关)

                                            1-1-158
拓荆科技股份有限公司                                                               招股说明书


序                                                                     预算
           项目名称           部门    项目类别      实施周期                       进展情况
号                                                                   (万元)
     国家集成电路装备项
6    目 A(介质薄膜先进工         -      -                -             -             -
           艺相关)
     国家集成电路装备项
7                                 -      -                -             -             -
             目B

     (三)正在从事的主要研发项目

     截至报告期末,公司正在研发的主要项目的基本情况如下:

     1、PECVD 设备

                                                              所处阶    与行业技       项目
 项目
                       研究目标               相应人员        段及进    术水平的       预算
 名称
                                                              展情况      比较       (万元)
40nm 以
上低介
电常数    本项目主要研发目标应用于 40nm
                                          吕光泉、张                   达到国际
薄膜和    以上制程的 LokⅠ、LokⅡ、ACHM、                     产业化
                                          孝勇、叶五                   同类设备       1,538
硬掩膜    ADCⅠ材料工艺型号 PECVD 机台                        验证
                                          毛、杨艳                     水平
等先进    设备。
薄膜系
列产品
28nm-1    本项目主要目标为对原有工艺和设
                                             姜谦、田晓
4nm 通    备升级,研制适用于28nm-14nm工                                达到国际
                                             明、周坚、       产业化
用介质    艺节点生产线的SiO2、SiN、TEOS、                              同类设备       7,130
                                             宁建平、于       验证
薄膜系    DARC、HTN、a-Si材料工艺型号                                  水平
                                             棚、王卓
列产品    PECVD设备。
10nm 以
下通用    本项目主要目标为研制适用于         田晓明、周                达到国际
                                                              设计
介质薄    10nm以下制程生产线的多种材料       坚、叶五毛、              同类设备       6,593
                                                              阶段
膜系列    工艺型号PECVD设备。                于棚、姜崴                水平
产品
          本项目主要目标:1)筛选合适的化
          学前驱体,应用先进的液态源传输
28nm 以   系统,以及定制化的反应腔室设计
                                             吕光泉、田
下低介    及关键部件设计,有效提升设备稳
                                             晓明、张孝
电常数    定性和工艺表现,使低介电常数薄
                                             勇、叶五毛、              达到国际
薄膜和    膜均匀性、折射率、介电常数等关                      设计
                                             周坚、宁建                同类设备       36,014
硬掩膜    键性能指标均满足客户要求;2)设                     阶段
                                             平、谭华强、              水平
等先进    计特殊的腔室,大幅提升薄膜性能、
                                             王卓、蔡新
薄膜系    设备稳定性和颗粒表现,使硬掩膜
                                             晨
列产品    产品薄膜均匀性、折射率、消光系
          数、刻蚀选择性等关键性能指标满
          足客户要求。




                                      1-1-159
拓荆科技股份有限公司                                                                招股说明书


                                                              所处阶     与行业技       项目
 项目
                        研究目标                   相应人员   段及进     术水平的       预算
 名称
                                                              展情况       比较       (万元)
多站式
后段功     开发外径应用于先进封装领域的低        姜谦、吕光              达到国际
                                                              产业化
能薄膜     温氧化硅介质薄膜,打入国际先进        泉、张孝勇、            同类设备       994
                                                              验证
工艺系     客户的生产线。                        戚艳丽                  水平
列产品
                                                                         达到国际
           开发应用于沉积LED绝缘层和保护         叶五毛、吴   产业化
TF-Lite                                                                  同类设备       291
           层的4/6英寸SiO2 PECVD设备             凤丽         验证
                                                                         水平
12 英寸
HDPCV
                                                                         达到国际
D 介质     开 发 12 英 寸 高 密 度 等 离 子 体   张孝勇、      设计
                                                                         同类设备      3,806
薄膜先     PECVD设备                             谈太德        阶段
                                                                         水平
进工艺
研发

     2、ALD 设备

                                                                       与行业技        项目
                                            相应       所处阶段及
项目名称               研究目标                                        术水平的        预算
                                            人员         进展情况
                                                                         比较        (万元)
ALD
               开发能够满足128层3D
HTM SiO2                                  张孝勇、                     达到国际
薄膜沉积     NAND存储芯片制造工艺
                                          周坚、李      设计阶段       同类设备       10,016
设备及工     要求的高质量SiO2材料工
                                            晶                         水平
艺研发           艺型号ALD设备
28nm 以下    开发能够满足28nm制程及
Thermal      以下的Thermal ALD AlOx       姜谦、吕
                                                                       达到国际
ALD          工艺技术及成套设备,并       光泉、张
AlOx 设备                                               设计阶段       同类设备        3,860
             与集成电路生产商进行合       孝勇、张
                                                                       水平
及工艺开     作,完成该设备的测试、         阁
发                 验证和量产

     3、SACVD 设备

                                                                       与行业技
                                             相应       所处阶段及                  项目预算
项目名称               研究目标                                        术水平的
                                             人员         进展情况                  (万元)
                                                                         比较
             本项目主要目标为研制应
             用于28nm及以下技术节点       张孝勇、周
深沟槽填                                                               达到国际
             的薄膜沉积设备,实现深       坚、叶五
充薄膜工                                                 设计阶段      同类设备       1,140
             宽比大于5:1的浅槽隔离、      毛、宁建
艺产品                                                                 水平
             金属前介质层等沟槽填充       平、柳雪
                   的薄膜工艺。




                                          1-1-160
拓荆科技股份有限公司                                                        招股说明书



     (四)研发投入情况

     1、研发投入占比情况

     报告期内,公司研发投入情况如下:

                                                                           单位:万元
            项目            2021 年 1-9 月    2020 年度       2019 年度    2018 年度

        研发投入                 12,955.63        12,278.18     7,431.87    10,797.31

        营业收入                 37,389.57        43,562.77    25,125.15      7,064.40

研发投入占营业收入比例             34.65%           28.19%       29.58%      152.84%


     公司对标国际巨头,持续保持最新技术的研究和投入,坚持技术和产品创新。
报告期各期研发投入分别为 10,797.31 万元、7,431.87 万元、12,278.18 万元和
12,955.63 万元,分别占各期营业收入 152.84%、29.58%、28.19%和 34.65%,其
中 2018 年度研发投入占营业收入比例超过 100%系营业收入较小所致。

     2、研发投入的构成

     报告期内,公司的研发投入构成情况详见本招股说明书“第八节 财务会计
信息与管理层分析”之“十一、经营成果分析”之“(五)期间费用分析”之“3、
研发费用”。

     (五)合作研发情况

     报告期内,公司与外部科研机构的主要合作研发情况如下:

序   合作
             主要研发内容                    知识产权约定                   保密措施
号   单位
                            对于双方共同开发出来的知识产权,原则上根据
             ACHM 工艺开    双方贡献确定权益比例、合作方拥有优先使用与
     复旦                                                                   保密条款
1            发及 3D 结构   购买权,未经他方同意不得向双方外的单位或个
     大学                                                                   长期有效
                 集成       人转让;对于双方独立拥有的知识产权,以利益
                            共享、价值相等的原则交叉许可
                            对于双方共同开发出来的知识产权,原则上根据
             PECVD 设备用
                            双方贡献确定权益比例、合作方拥有优先使用与
     苏州    陶瓷加热盘的                                                   保密条款
2                           购买权,未经他方同意不得向双方外的单位或个
     珂玛    关键技术与产                                                   长期有效
                            人转让;对于双方独立拥有的知识产权,以利益
                 业化
                            共享、价值相等的原则交叉许可
               3D NAND
     武汉                   涉及到的知识产权由双方进一步协商并签订相关      保密条款
3            PECVD 设备验
     新芯                   协议                                            长期有效
                  证


                                        1-1-161
拓荆科技股份有限公司                                                       招股说明书


序   合作
            主要研发内容                    知识产权约定                   保密措施
号   单位
                             对于双方联合开发完成的科技成果及其形成的知
                             识产权归双方共有,按照双方的资金、人员、技
                             术、物质条件等投入情况,双方协商确定各自的
            国家科技重大
     长江                    份额;对各自独立开发完成的科技成果及其形成    保密条款
4             专项课题 A
     存储                    的知识产权归各自所有,一方需要使用对方独有    长期有效
            (ALD 相关)
                             的知识产权及科技成果时,另一方应提供但可收
                             取合理费用,共享方式及费用金额根据双方协商
                             另行约定
                             双方单独享有各自独立研发的知识产权;涉及共
            国家科技重大     同研发或一方利用另一方物质技术条件研发的知
     长鑫   专项课题 B(先   识产权由双方协商一致解决;并通过补充协议进    保密条款
5
     存储   进工艺 PECVD     一步约定,在项目研发过程中双方共同产生或创    长期有效
                相关)       造的任何权利、权益, 未经一方书面许可,另一
                             方不得单独享有。

     (六)研发人员情况

     1、研发人员和研发团队情况

     截至 2021 年 9 月 30 日,拓荆科技的科研人员 189 人,占员工总数的 44.06%,
其中海外技术专家及高端技术人才十余人。经过十余年的发展,公司已形成一支
以国际技术专家为带头人,以国内技术骨干为基础,研发经验和产线调试经验丰
富的研发团队。

     2、核心技术人员情况

     核心技术人员的基本情况参见本招股说明书“第五节 发行人基本情况”之
“十一、发行人董事、监事、高级管理人员及核心技术人员情况”。

     3、对核心技术人员的约束激励机制

     公司与核心技术人员签订《员工保密合同》,约定相关人员在入职公司及离
职后 5 年内需要对任职期间涉及的商业秘密、技术秘密、其它秘密履行保密义务。
公司与关键核心技术人员签订《竞业禁止承诺书》,约定相关人员在离职后一年
内不得在中国境内从事与公司存在竞争关系的实体。公司通过授予核心技术人员
股权激励,充分调动其研发工作的积极性和创造性。

     截至本招股说明书签署日,核心技术人员持有公司股份情况请参见本招股说
明书“第五节 发行人基本情况”之“十五、发行人董事、监事、高级管理人员、


                                        1-1-162
拓荆科技股份有限公司                                           招股说明书



核心技术人员及其近亲属持股情况”。

     4、报告期内核心技术人员变动情况及对发行人的影响

     报告期内,公司核心技术人员未发生变动,核心技术团队人员稳定,不存在
重大不利变化。

     (七)技术创新的机制、安排及技术储备

     1、技术创新机制及安排

     公司致力于研究和生产世界领先的半导体薄膜设备,始终坚持自我创新,建
立了与现代企业制度相适应的创新管理体制,对技术创新作了合理安排,主要措
施如下:

     (1)高度重视技术研发人才的培养

     技术研发是科技创新企业不断进步的推动力,技术研发人才是技术进步与革
新的根本,也是企业发展的核心竞争力。公司高度重视技术研发人才的培养,制
定了《培训制度》,使现有员工的技能得以完善和充实,并具备多方面的才干;
为晋升或纵向发展创造条件,使现有员工具备更高水平的工作能力。培训以日常
经营和管理工作为对象,以企业内部的培训教育为主体,以企业外部的培训进修
为补充。公司通过邀请行业内知名技术专家举办技术论坛,带来业内先进的技术
方向和思想,同时为员工提供技术学习和交流的机会,提升技术研发人员的专业
技能。

     (2)建立技术带头人制度

     半导体生产设备作为半导体产业的核心环节,其研发具有较高的进入门槛和
经验积累。但国内半导体产业起步较晚,半导体生产设备领域的人才及技术积累
均较为薄弱。为了加快半导体薄膜沉积设备的研发进度,提高研发成功率,公司
建立技术带头人制度,引进海外行业技术专家作为专业技术带头人,带领公司研
发团队攻克技术难点及满足客户个性化技术要求。通过具体的项目实践,快速培
养本土半导体薄膜沉积设备专业技术人才,建立起一支以海外技术专家为带头
人,以国内技术骨干为基础,研发经验和产线调试经验丰富的研发团队。


                                 1-1-163
拓荆科技股份有限公司                                            招股说明书



     (3)加强知识产权保护,激发自主创新

     为鼓励公司技术创新,保护公司自主知识产权,加强公司对知识产权的管理,
由公司的知识产权管理委员会制定并实施《知识产权管理制度》。知识产权管理
委员会主要负责对员工进行专利法和知识产权相关知识的宣传培训工作;鼓励员
工发明创造,为员工提供有关专利事务的咨询服务;办理公司专利申请、文章发
表、论文发表及其他著作权事宜,管理公司所有的知识产权相关工作;管理公司
有关的专利文献和专利信息,研究公司的专利战略,保护公司的专利权并避免侵
犯他人的专利权;为职务发明专利的发明人、文章及论文发表人申请奖励。

     公司执行业绩激励管理制度,业绩考核指标与科技创新、专业技术水平紧密
相关,员工激励与个人工作质量、效率情况紧密相关,创新发明能力是业绩考核
中重要评分因素。将创新与员工业绩考核、激励等事项挂钩,有效地激励了技术
研发人员的自主创新行为。

     2、技术储备

     公司面向国内半导体制造产业的实际需求和产线演进节奏,在逻辑电路应用
领域储备了 10 纳米以下工艺节点下的通用介质材料工艺薄膜沉积技术、28 纳米
先进介质材料工艺薄膜沉积技术,在存储芯片领域储备了 128 层 3D NAND 和
1Y 世代 DRAM 介质薄膜沉积技术,在先进封装和 LED 显示领域储备了 TSV、
2.5D-IC、3D-IC 集成及光电领域所需的介质薄膜沉积技术。公司未来将坚持大
额研发投入,不断迭代升级、优化现有设备和工艺,不断推出面向未来发展需求
的新工艺、新设备。

六、对主要业务有重大影响的主要固定资产、无形资产等资源要素情

况

     (一)主要固定资产

     本公司及下属子公司与业务相关的主要固定资产包括房屋建筑物和机器设
备等。截至报告期末,公司主要固定资产情况如下表所示:




                                 1-1-164
拓荆科技股份有限公司                                                                  招股说明书


                                                                                     单位:万元
  资产类型             原值           累计折旧        减值准备        账面价值        成新率

房屋建筑物         14,107.99            3,185.65                 -      10,922.33        77.42%

  机器设备             8,331.99         3,414.35                 -        4,917.65       59.02%

    总计           22,439.98            6,600.00                 -      15,839.98       70.59%


     1、房屋建筑物

     截至报告期末,发行人拥有的房屋建筑物位于辽宁省沈阳市浑南区水家 900
号,均在自有土地上建造,总建筑面积 38,065.39 平方米,为总公司所在地,主
要包括办公楼、半导体薄膜设备研发生产一期洁净厂房、食堂、员工宿舍等。发
行人主要的经营、管理活动以及生产、制造活动皆由总公司在此完成。此外,截
至报告期末,拓荆科技(上海)有限公司临港园区三期标准厂房项目工程正在建
设中。

     房屋建筑详细情况请见本招股说明书“附表 1:发行人拥有的房屋建筑”。

     2、主要机器设备

     截至报告期末,公司主要机器设备如下表所示:

                                                                                       单位:元
      设备名称                数量                 原值              账面价值         成新率

   颗粒度测试仪                   1              37,031,114.33       23,838,779.84       64.37%

   全自动膜厚仪                   1              20,142,310.26       12,966,612.22       64.37%

   硅片清洗设备                   1               1,538,461.51        1,136,538.45       73.88%

    原子显微镜                    1               1,187,711.56         538,901.66        45.37%

         总计                     4              59,899,597.66       38,480,832.17      64.24%


     发行人的机器设备主要用产品研发、生产以及工艺验证。

     3、租赁房产

     为方便销售、联络客户,发行人在北京、上海、武汉等地开设有分公司,但
在上述城市并未取得土地使用权以及自建或购置房屋建筑物,故在当地租赁若干
房屋用于办公、存储商品备件或用作员工宿舍。截至 2022 年 3 月 8 日,公司及


                                             1-1-165
 拓荆科技股份有限公司                                                          招股说明书



 子公司租赁房屋 24 处,具体情况请见本招股说明书“附表 2:发行人租赁的房
 产”。

       (二)主要无形资产

       1、土地使用权

       截至 2022 年 3 月 8 日,本公司及子公司拥有的土地使用权如下表所示:

序号     地址     权利人   产权证书号   面积(㎡) 用途    类型   使用期限至     他项权利
       浑南区                浑南国用
 1     水家 900   发行人   (2015)第   52,107.19   工业   出让   2064.11.17         无
         号                    011 号

       2、商标

       发行人报告期内的客户均位于中国大陆地区与中国台湾地区,截至 2022 年
 3 月 8 日,发行人已于上述地区取得多项商标权,并已具有较高知名度,其中国
 内注册商标 22 件,台湾地区商标 10 件,美国商标 2 件。详细情况请见本招股说
 明书“附表 3:发行人已获注册商标清单”。

       3、专利

       截至 2022 年 3 月 8 日,发行人及下属子公司已获授权专利 174 项,其中境
 内 153 项,包含发明专利 77 项、实用新型专利 75 项、外观设计 1 项;其他国家
 或地区 21 项,包含中国台湾地区的发明专利 17 项和美国的发明专利 4 项;国内
 外和其他地区发明专利合计 98 项。详细情况请见本招股说明书“附表 4:发行
 人已获授权专利清单”。

       发行人承担国家科技重大专项“极大规模集成电路制造装备及成套工艺”之
 “90-65nm 等离子体增强化学气相沉积设备研发与应用”项目、 1x nm 3D NAND
 PECVD 研发及产业化”项目形成的知识产权,按照《中华人民共和国科学技术
 进步法》《国家科技重大专项知识产权管理暂行规定》相关规定管理。

       发行人专利权主要用于保护核心技术,防止技术外泄风险。同时,专利保护
 是抢先进入市场的企业应对竞争的有效手段,可以保持技术优势和竞争力,使新
 进入者面临较高的专利壁垒。在集成电路制造领域,已掌握领先技术的企业都会


                                         1-1-166
拓荆科技股份有限公司                                                             招股说明书



通过及时申请专利的方式保护知识产权,并对新进入者形成较高的专利壁垒。

         4、域名

         截至 2022 年 3 月 8 日,拓荆科技拥有如下 5 个域名:

  序号              权利人                域名                           期限

     1             拓荆科技            sypiotech.cn            2010.03.04-2023.03.04

     2             拓荆科技            拓荆科技.cn             2015.09.30-2025.09.30

     3             拓荆科技            拓荆科技.com            2015.09.30-2025.09.30

     4             拓荆科技              拓荆.cn               2018.06.29-2028.06.29

     5             拓荆科技             拓荆.com               2018.06.29-2028.06.29


         截至本招股说明书签署日,上述发行人资产均未设定抵押,均不存在瑕疵、
纠纷和潜在纠纷。

         (三)经营资质

         截至本招股说明书签署日,公司及其子公司取得的与生产经营相关的资质和
认证如下表所示:

序号               证书类型                   证书编号           发证日期       截止日期

              质量管理体系认证
 1          (GB/T19001-2016/ISO          00219Q26844R3M         2019/12/9      2022/12/14
                  9001:2015)
              环境管理体系认证
 2                  (GB/T                00219E33749R3M         2019/12/9      2022/12/14
          24001-2016/ISO14001:2015)
          职业健康安全管理体系认证
 3          (GB/T 45001-2020/ISO         00219S23276R3M         2019/12/9      2022/12/14
                 45001:2018)

 4        对外贸易经营者备案登记表            03915312            2021/2/1      长期有效

 5              高新技术企业              GR202121001035         2021/12/14     2024/12/13

                                       912101005507946696001
 6           固定污染源排污登记                                  2020/4/10       2025/4/9
                                                 Z

 7                 SEMI S2             6400-1A-AS2/BA181201          -              -

注:根据全国高新技术企业认定管理工作领导小组办公室 2022 年 1 月 7 日发布的《关于对
辽宁省 2021 年认定的第二批高新技术企业进行备案的公告》,发行人已于 2021 年 12 月 14


                                           1-1-167
拓荆科技股份有限公司                                                   招股说明书


日通过高新技术企业资格重新认定,发证日期为 2021 年 12 月 14 日,证书编号为
GR202121001035。根据中国科技部、财政部、国家税务总局以国科发火〔2016〕32 号印发
修订后的《高新技术企业认定管理办法》,通过认定的高新技术企业,其资格自颁发证书之
日起有效期为三年。

     报告期内,公司所售出的设备均已通过 SEMI S2 国际安规认证。

     (四)特许经营权

     截至本招股说明书签署日,公司未拥有特许经营权。

七、发行人的境外经营情况

     截至本招股说明书签署日,公司未在境外设立独立经营主体,未拥有境外资
产。发行人在中国台湾地区建有办事处,雇用劳务派遣员工,为某国际领先晶圆
厂提供设备维护、技术支持工作。




                                    1-1-168
拓荆科技股份有限公司                                             招股说明书



                       第七节 公司治理与独立性


一、股东大会、董事会、监事会、独立董事、董事会秘书制度的建立

健全及运行情况

     报告期内,公司根据《公司法》《证券法》等有关法律法规的要求,建立了
科学和规范的法人治理结构,制定和完善了相关内部控制制度,公司股东大会、
董事会、监事会、独立董事、董事会秘书制度和董事会各专门委员会制度逐步完
善,依法规范运作,管理效率不断提高,保障了公司经营管理的有序进行。

     公司先后对股东大会、董事会、监事会的职权进行了规范,制订了《公司章
程》《股东大会议事规则》《董事会议事规则》《监事会议事规则》《独立董事
制度》等,并能够有效执行上述制度。

     报告期内,公司治理情况良好,不存在重大缺陷。

     (一)股东大会

     1、股东大会的建立及职权

     2021 年 1 月 8 日,公司召开创立大会暨 2021 年第一次临时股东大会。根据
《公司章程》和《股东大会议事规则》,股东大会是公司的最高权力机构。

     2、股东(大)会的运行及履职情况

     报告期内有限公司阶段,公司为中外合资的有限公司,最高权力机构是董事
会。公司变更为股份公司后,截至 2022 年 3 月 8 日,共召开 5 次股东大会会议,
历次股东大会的召集和召开程序、股东出席情况、表决方式及决议内容等均符合
《公司法》《公司章程》和《股东大会议事规则》的相关规定,不存在股东违反
相关规定行使职权的情形。

     (二)董事会

     1、董事会的建立及职权

     2021 年 1 月 8 日,公司召开的创立大会暨 2021 年第一次临时股东大会选举

                                  1-1-169
拓荆科技股份有限公司                                             招股说明书



产生了公司第一届董事会。公司董事会目前由 9 名董事组成,设董事长 1 名、独
立董事 3 名。公司董事会根据《公司法》及《公司章程》行使职权。

     2、《公司章程(草案)》关于公司收购情况下董事会成员构成的特殊安排

     公司上市后适用的《公司章程(草案)》规定,如果发生现有股东或其他收
购方在未经董事会半数表决权通过的情况下,通过收购公司股份或者采取一致行
动等方式取得或谋求公司控制权的情形,则在当届董事会任期届满时,继任董事
会成员中至少应有三分之二以上的原任董事会成员连任,且继任董事会成员中必
须至少有一名公司职工代表担任董事,但如果职工代表董事的入选导致独立董事
人数低于法定比例时,则董事会暂不设置职工代表董事;继任董事会任期届满前,
每年股东大会改选董事的总数不能超过公司章程所规定的董事会组成人数的四
分之一。

     3、董事会的运行及履职情况

     公司董事会人数和人员构成符合法律法规和《公司章程》的要求。报告期内,
董事会规范运作,有限公司阶段共召开了 18 次董事会会议,公司变更为股份公
司后,截至 2022 年 3 月 8 日,股份公司阶段共召开 7 次董事会会议。会议的召
集和召开、表决程序、会议决议的形成及签署等符合公司章程的有关规定。公司
章程就股东大会对董事会的授权原则作出了规定,公司董事会能够在股东大会的
授权范围内有效行使相应的职权。

     (三)监事会

     1、监事会的建立及职权

     2021 年 1 月 8 日,公司召开的创立大会暨 2021 年第一次临时股东大会选举
产生了非职工代表监事,与职工代表大会已选举产生的职工代表监事共同组成公
司第一届监事会,任期 3 年。公司监事会由 6 名监事组成,其中职工代表监事 2
名,依据《公司法》及《公司章程》行使职权。

     2、监事会的运行及履职情况

     公司监事会人数和人员构成符合法律、法规和《公司章程》的要求。报告期


                                  1-1-170
拓荆科技股份有限公司                                            招股说明书



内,公司监事会运作规范,有限公司阶段共召开了 2 次监事会会议,公司变更为
股份公司后,截至 2022 年 3 月 8 日,股份公司阶段共召开了 4 次监事会会议,
会议的召集和召开、表决程序、会议决议的形成及签署符合公司章程的规定。

     (四)独立董事

     1、独立董事的建立及职权

     2021 年 1 月 8 日,公司召开创立大会暨第一次临时股东大会。公司现任独
立董事为吴汉明、黄宏彬、赵国庆三人,占公司董事人数的三分之一;其中赵国
庆先生为会计专业人士,符合相关规定。公司独立董事具有《公司法》《公司章
程》和《拓荆科技股份有限公司独立董事制度》赋予的职权。

     2、独立董事的履职情况

     公司独立董事自接受聘任以来,认真履行其独立董事的职责,详细审阅了历
次董事会的相关议案,并就公司关联交易等事项发表了独立意见。

     (五)董事会秘书

     2021 年 1 月 8 日,公司召开第一届董事会第一次会议,聘任赵曦为公司董
事会秘书,任期 3 年。公司董事会秘书任职期间,严格按照《公司章程》《董事
会秘书工作细则》等有关规定行使职权。

     (六)董事会专门委员会

     2021 年 1 月 8 日,公司第一届董事会第一次会议决议,设置董事会战略规
划委员会、审计委员会、薪酬与考核委员会和提名委员会,开始建立并执行董事
会专门委员会制度。股份公司设立以后,截至 2022 年 3 月 8 日,审计委员会、
薪酬与考核委员会、战略规划委员会、提名委员会各召开 1 次会议。

二、发行人特别表决权股份情况

     截至本招股说明书签署日,发行人不存在特别表决权股份或类似安排。

三、发行人协议控制架构情况



                                 1-1-171
拓荆科技股份有限公司                                            招股说明书



     截至本招股说明书签署日,发行人不存在协议控制架构。

四、报告期内发行人公司治理存在的缺陷及改进情况

     为了获得便捷的信息系统售后服务,发行人在报告期内曾自中微公司控制的
中微惠创科技(上海)有限公司处采购 SAP、PLM、OA 系统及相应的维护服务,
用于公司的日常运营。为消除该项信息安全管理瑕疵,发行人于 2020 年 7 月启
动了对信息系统的整改工作,对信息系统陆续进行迁移,委托无关联第三方提供
运维服务。

     截至招股说明书签署日,该三项信息系统的迁移整改工作已完成,①SAP
系统:已完成迁移,并自 2021 年 1 月 6 日起即已开始委托无关联关系第三方专
业机构进行独立维护;②PLM 系统:已完成迁移,并自 2021 年 9 月 2 日起开始
委托无关联关系第三方专业机构进行独立维护;③OA 系统:已完成本地新系统
的建设,并自 2021 年 11 月 2 日起正式切换启用新 OA 系统。

     随着 OA 系统后续的迁移整改完成,公司信息系统由关联方运维的瑕疵将消
除,日常运营信息管理系统得以进一步完善。

五、发行人管理层对内部控制的自我评估意见

     公司自成立以来,不断完善内部控制制度,建立并逐步健全法人治理结构,
建立了包括《对外投资管理制度》《关联交易管理制度》《对外担保管理制度》
及《内部审计制度》在内的内部控制制度。

     公司管理层认为,公司根据自身特点,按照有关法律法规和其他相关要求,
制定了行之有效的内部控制制度,使公司的各项业务有章可循,保证了公司业务
的正常运营和持续高效发展。公司现有的内部控制制度涵盖了业务运营、安全生
产、财务管理等各个方面,在完整性、有效性和合理性方面不存在重大缺陷。同
时,公司管理层将根据公司发展的实际需要,对内部控制制度不断加以改进。

六、注册会计师对发行人内部控制的鉴证意见

     天健会计师事务所(特殊普通合伙)于 2022 年 1 月 4 日出具《关于拓荆科


                                  1-1-172
拓荆科技股份有限公司                                            招股说明书



技股份有限公司内部控制的鉴证报告》(天健审[2022]9 号),认为拓荆科技公
司按照《企业内部控制基本规范》及相关规定于 2021 年 9 月 30 日在所有重大方
面保持了有效的内部控制。

七、发行人及其子公司最近三年违法违规情况

     报告期内,公司及其子公司不存在重大违法违规行为,也不存在受到相关主
管机关重大处罚情况。

八、报告期内资金被控股股东、实际控制人及其控制企业占用或者为

控股股东、实际控制人及其控制企业担保的情况

     (一)资金占用

     报告期内,发行人无控股股东或实际控制人,也不存在资金被持股 5%以上
主要股东及其控制企业占用的情况。

     (二)对外担保

     报告期内,发行人无控股股东或实际控制人,也不存在为持股 5%以上主要
股东及其控制企业提供担保的情况。

九、发行人独立持续经营的能力情况

     发行人具有独立完整的研发、采购、生产、销售与服务体系及独立面向市场
自主经营的能力,具体如下:

     (一)资产完整

     发行人具备与生产经营有关的主要生产系统、辅助生产系统和配套设施,合
法拥有与生产经营有关的主要土地、厂房、机器设备等固定资产以及商标、专利、
非专利技术等无形资产的所有权或者使用权,具有独立的原料采购和产品销售系
统。截至本招股说明书签署日,不存在持股 5%以上主要股东及其控制的其他企
业违规占用发行人资产的情况。

     (二)人员独立


                                 1-1-173
拓荆科技股份有限公司                                            招股说明书



     截至本招股说明书签署日,发行人不存在公司总经理、副总经理、财务负责
人、董事会秘书等高级管理人员在持股 5%以上主要股东及其控制的其他企业中
兼职或领薪的情形,不存在公司财务人员在持股 5%以上主要股东及其控制的其
他企业中兼职或领薪的情形。

     (三)财务独立

     截至本招股说明书签署日,发行人已建立独立的财务核算体系、能够独立作
出财务决策、具有规范的财务会计制度和对分公司、子公司的财务管理制度;发
行人依法独立设立银行账户,截至本招股说明书签署日,发行人未与持股 5%以
上主要股东或其他关联企业共用银行账户。

     (四)机构独立

     截至本招股说明书签署日,发行人已建立健全内部经营管理机构、独立行使
经营管理职权,内部机构独立于持股 5%以上主要股东。发行人不存在与持股 5%
以上主要股东及其控制的其他企业混合经营、合署办公的情况,也不存在持股
5%以上主要股东干预公司机构设置的情况,不存在与持股 5%以上主要股东及其
控制的其他企业机构混同的情形。

     (五)业务独立

     截至本招股说明书签署日,发行人通过自身及下属子公司开展业务,拥有完
整的业务体系,业务独立于持股 5%以上主要股东及其他关联方。

     截至本招股说明书签署日,发行人不存在控股东、实际控制人,不存在与控
股股东、实际控制人及其控制的其他企业间构成重大不利影响的同业竞争,以及
严重影响独立性或者显失公平的关联交易。

     (六)经营稳定性

     最近 2 年,发行人主营业务、控制权、管理团队及核心技术人员均未发生重
大不利变化。

     (七)影响持续经营的重大事项

     发行人不存在主要资产、核心技术、商标的重大权属纠纷,重大偿债风险,

                                 1-1-174
拓荆科技股份有限公司                                                 招股说明书



重大担保、诉讼、仲裁等或有事项,经营环境已经或将要发生的重大变化等对持
续经营有重大影响的事项。

       经核查,保荐人认为,截至本招股说明书签署日,发行人在资产、人员、财
务、机构、业务等方面均具备独立性,发行人披露的公司独立性内容真实、准确、
完整。

十、发行人同业竞争情况

       (一)发行人不存在与控股股东、实际控制人及其控制的其他企业从事相
同、相似业务的情况

       截至本招股说明书出具日,发行人不存在控股股东或实际控制人,不存在与
控股股东、实际控制人及其控制的其他企业同业竞争的情形。

       (二)避免同业竞争的承诺

       发行人 5%以上股东国家集成电路基金、国投上海、嘉兴君励及其一致行动
人盐城燕舞、润扬嘉禾,姜谦及其部分一致行动人已出具关于避免同业竞争的承
诺,主要内容详见本招股说明书“第十三节 附件”之“附表 5:与投资者保护
相关的承诺”之“(九)避免同业竞争的承诺”。

十一、关联方、关联关系及关联交易

       (一)关联方及关联关系

       按照《公司法》《企业会计准则第 36 号》《上海证券交易所科创板股票上
市规则》等对关联方的披露要求,并遵循从严原则,发行人关联方和关联关系列
示如下:

       1、直接或间接持有发行人 5%以上股份的股东

       截至本招股说明书签署日,直接持有发行人 5%以上股份的股东情况如下:

 序号          关联方名称                        关联关系

   1        国家集成电路基金             直接持有发行人 26.48%股份

   2            国投上海                 直接持有发行人 18.23%股份

                                   1-1-175
拓荆科技股份有限公司                                                             招股说明书



 序号             关联方名称                                关联关系

     3             中微公司                         直接持有发行人 11.20%股份
                                      直接持有发行人 7.39%股份,与其一致行动人盐城燕舞
     4             嘉兴君励
                                                  合计持有发行人 8.97%股份
                                      盐城燕舞与嘉兴君励构成一致行动人,合计持有发行
     5             盐城燕舞           人 8.97%股份;其中,盐城燕舞直接持有发行人 1.58%
                                                            股份
     6             润扬嘉禾                          直接持有发行人 6.57%股份

     7       姜谦及其一致行动人                    合计持有发行人 15.1920%股份


         除上述直接持有发行人 5%以上股份的股东之外,间接持有发行人 5%以上
权益的自然人、法人或其他组织也构成发行人的关联方。

         2、直接持有发行人 5%以上股份的股东直接或间接控制的除发行人及其子
公司以外的法人或其他组织

         截至 2021 年 12 月 31 日,直接持有发行人 5%以上股份的股东直接或间接控
制的,除发行人及其子公司以外的法人或其他组织情况如下:

序号                    关联方名称                                 关联关系
                                                      发行人股东国家集成电路基金直接持有
 1              巽鑫(上海)投资有限公司
                                                                其 100.00%股份
                                                      发行人股东国家集成电路基金间接持有
 2              鑫芯(香港)投资有限公司
                                                                其 100.00%股份
                                                        发行人股东中微公司直接持有其
 3             中微半导体(上海)有限公司
                                                                  100.00%股份
                                                        发行人股东中微公司直接持有其
 4          中微科技投资管理(上海)有限公司
                                                                  100.00%股份
                                                        发行人股东中微公司直接持有其
 5             南昌中微半导体设备有限公司
                                                                  100.00%股份
                                                        发行人股东中微公司直接持有其
 6           中微半导体设备(厦门)有限公司
                                                                  100.00%股份
                                                        发行人股东中微公司直接持有其
 7            中微惠创科技(上海)有限公司
                                                                  100.00%股份
                                                        发行人股东中微公司直接持有其
 8           芯汇康医疗器械(上海)有限公司
                                                                  100.00%股份
                                                        发行人股东中微公司间接持有其
 9               AMEC North America,Inc.
                                                                  100.00%股份
                                                        发行人股东中微公司间接持有其
 10                 AMEC Japan Co.,Inc.
                                                                  100.00%股份
            Advanced Micro-Fabrication Equipment        发行人股东中微公司间接持有其
 11
                         Korea Ltd.                               100.00%股份
            Advanced Micro-Fabrication Equipment        发行人股东中微公司直接持有其
 12
                   International Pte. Ltd.                        100.00%股份

                                           1-1-176
拓荆科技股份有限公司                                                      招股说明书



序号                   关联方名称                            关联关系
                                                发行人股东中微公司直接持有其 95.00%
 13        中微汇链科技(上海)有限公司
                                                                股份

       3、发行人的子公司

       详见本招股说明书“第五节 发行人基本情况”之“八、发行人控股子公司
及对发行人有重大影响的参股公司的情况”之“(一)发行人控股子公司情况”
部分。

       4、发行人现任董事、监事、高级管理人员及其关系密切的家庭成员

       发行人现任董事、监事和高级管理人员及其关系密切的家庭成员为发行人的
关联方。根据相关法律法规,关系密切的家庭成员包括配偶、父母、年满 18 周
岁的子女及其配偶、兄弟姐妹及其配偶,配偶的父母、兄弟姐妹,子女配偶的父
母。

       发行人现任董事、监事、高级管理人员详细情况详见本招股说明书“第五节
发行人基本情况”之“十一、发行人董事、监事、高级管理人员及核心技术人员
情况”之“(一)董事、监事、高级管理人员及核心技术人员简介”。

       5、发行人现任董事、监事、高级管理人员及其关系密切的家庭成员直接或
间接控制的或具有重要影响的,或者由前述人员(独立董事除外)担任董事、
高级管理人员的除发行人及其子公司以外的法人或其他组织

       发行人董事(独立董事除外)、监事、高级管理人员担任董事、高级管理人
员的企业或其他组织为公司关联方,详见“第五节 发行人基本情况”之“十一、
发行人董事、监事、高级管理人员及核心技术人员情况”。除上述已提及的关联
方外,发行人董事、监事和高级管理人员及其关系密切的家庭成员直接或间接控
制的或具有重要影响的其他企业情况如下:

序号                       关联方                              关联关系
                                                  董事杨柳的姐姐的配偶担任总经理并
  1          江西盈泰房地产营销策划有限公司
                                                              形成控制
  2             上海支惠能源科技有限公司          董事杨柳的配偶的姐姐担任执行董事
                                                  董事尹志尧的配偶饶凌宇担任董事长
  3             上海易耘文化传媒有限公司
                                                            并形成控制


                                      1-1-177
拓荆科技股份有限公司                                                             招股说明书



序号                        关联方                                  关联关系
              上海斐君铂晟投资管理合伙企业
  4                                                           独立董事黄宏彬控制
                      (有限合伙)
  5       嘉兴君科股权投资合伙企业(有限合伙)                独立董事黄宏彬控制

  6       嘉兴君才股权投资合伙企业(有限合伙)                独立董事黄宏彬控制

  7       常州斐君股权投资合伙企业(有限合伙)                独立董事黄宏彬控制

  8       嘉兴君壹股权投资合伙企业(有限合伙)                独立董事黄宏彬控制

  9       嘉兴斐熙股权投资合伙企业(有限合伙)                独立董事黄宏彬控制

  10      嘉兴君晓股权投资合伙企业(有限合伙)                独立董事黄宏彬控制
              常州斐君隆成股权投资合伙企业
  11                                                          独立董事黄宏彬控制
                      (有限合伙)
              上海斐君钛晟投资管理合伙企业            独立董事黄宏彬的弟弟黄宏武担任执
  12
                      (有限合伙)                              行事务合伙人
                                                      独立董事黄宏彬的弟弟黄宏武担任执
  13               上海虎顺贸易有限公司
                                                              行董事并形成控制
  14          武汉市惠祥盛市政工程有限公司            监事会主席叶五毛的哥哥叶盛锦控制

  15          武汉英途工程智能设备有限公司            监事会主席叶五毛的哥哥叶盛锦控制


       6、报告期前十二个月内及报告期内曾存在的关联方

       由于发行人股权结构变化以及董事、监事、高级管理人员任职变化认定的,
报告期前十二个月内及报告期内曾与发行人存在关联关系的关联方,主要如下:

序号                   关联方姓名/名称                             关联关系
                                                    2021 年 1 月 8 日至 2021 年 11 月 24 日
  1                        王   梁
                                                             期间,曾任发行人董事
                                                    2021 年 1 月 8 日至 2021 年 4 月 8 日期
  2                        余   峰
                                                               间,曾任发行人监事
                                                    2017 年 9 月 6 日至 2021 年 1 月 7 日,
  3                        杨   璐
                                                               曾任拓荆有限董事
                                                    2017 年 1 月 1 日至 2021 年 1 月 7 日,
  4                        杜志游
                                                               曾任拓荆有限董事
                                                    2017 年 1 月 1 日至 2021 年 1 月 7 日,
  5                        李昌龙
                                                               曾任拓荆有限董事
                                                    2017 年 9 月 6 日至 2021 年 1 月 7 日,
  6                        陈伟文
                                                           曾任拓荆有限监事会主席
                                                    2017 年 1 月 1 日至 2021 年 1 月 7 日,
  7                        张素梅
                                                         曾任拓荆有限职工代表监事
                                                    2017 年 4 月 13 日至 2021 年 1 月 7 日,
  8                        吕玉梅
                                                               曾任拓荆有限监事
                                                    2017 年 1 月 1 日至 2019 年 11 月 21 日,
  9                        苏庆祥
                                                               曾任拓荆有限董事

                                          1-1-178
拓荆科技股份有限公司                                                            招股说明书



序号                   关联方姓名/名称                            关联关系
                                                   2017 年 1 月 1 日至 2017 年 9 月 6 日,
 10                        王坤秀
                                                             曾任拓荆有限董事
                                                   2017 年 1 月 1 日至 2017 年 9 月 6 日,
 11                        王   军
                                                             曾任拓荆有限董事
                                                   2017 年 9 月 6 日至 2017 年 11 月 15 日,
 12                        王   常
                                                             曾任拓荆有限董事
                                                   2017 年 11 月 15 日至 2019 年 4 月 3 日,
 13                        王海涛
                                                             曾任拓荆有限董事
                                                   2017 年 1 月 1 日至 2017 年 9 月 6 日,
 14                        刘井岩
                                                             曾任拓荆有限监事
                                                   2017 年 1 月 1 日至 2017 年 9 月 6 日,
 15                        韩   波
                                                             曾任拓荆有限监事
                                                   2017 年 9 月 6 日至 2018 年 11 月 28 日,
 16                        佟世文
                                                             曾任拓荆有限监事
            大连港航清洁能源创业投资基金           2017 年 1 月 1 日至 2017 年 8 月 9 日,
 17
                    (有限合伙)                         直接持有发行人 6.72%股份
                                                   董事杨征帆曾担任董事,已于 2021 年 1
 18           无锡市太极实业股份有限公司
                                                                    月卸任
                                                   董事杨征帆曾担任董事,已于 2020 年 2
 19            杭州长新投资管理有限公司
                                                                    月卸任
              美国盛美半导体设备有限公司           董事杨征帆曾担任董事,已于 2021 年 5
 20
                (ACM Research, Inc.)                              月卸任
                                                    董事尹志尧配偶的母亲曾担任经理的
 21           广东省美斯医疗投资有限公司
                                                     企业,已于 2021 年 11 月 15 日卸任
                                                   原董事王梁担任董事;报告期内曾持有
 22                        中科仪
                                                           拓荆有限超过 5%股权
                                                   原董事王梁曾担任董事,已于 2020 年 7
 23         长电集成电路(绍兴)有限公司
                                                                    月卸任
                                                   原董事王梁曾担任董事,已于 2020 年 9
 24            苏州长电新朋投资有限公司
                                                                    月卸任
                                                   原董事王梁曾担任董事,已于 2020 年 9
 25            苏州长电新科投资有限公司
                                                                    月卸任
                                                    董事齐雷曾担任董事,已于 2021 年 8
 26           山东数字人科技股份有限公司
                                                                    月卸任
                                                   监事许荣伟曾担任副总经理,已于 2021
 27         盐城东方投资开发集团有限公司
                                                                 年 7 月卸任
                                                   副总经理、财务负责人刘静曾担任执行
 28       沈阳展阳科技服务中心(有限合伙)         事务合伙人,该企业已于 2020 年 10 月
                                                                  30 日注销
                                                    副总经理孙丽杰曾担任执行事务合伙
 29       沈阳展博科技服务中心(有限合伙)
                                                   人,该企业已于 2020 年 11 月 4 日注销
                                                    副总经理孙丽杰曾担任执行事务合伙
 30       沈阳展智科技服务中心(有限合伙)
                                                   人,该企业已于 2020 年 11 月 4 日注销
 31        杭州中欣晶圆半导体股份有限公司                  原董事杜志游担任董事

 32            上海洪朴信息科技有限公司                    原董事杜志游担任董事

 33         上海芯元基半导体科技有限公司                   原董事杜志游担任董事


                                         1-1-179
拓荆科技股份有限公司                                                         招股说明书



序号                   关联方姓名/名称                          关联关系

 34           上海芯元基光电科技有限公司                  原董事杜志游担任董事

 35                     Solayer GmbH                      原董事杜志游担任董事
                                                   原董事李昌龙曾担任董事,已于 2019
 36              国科科仪控股有限公司
                                                             年 10 月卸任
 37     中科仪(南通)半导体设备有限责任公司            原董事李昌龙担任执行董事
                                                   原董事李昌龙曾担任执行董事,已于
 38           上海上凯仪真空技术有限公司
                                                             2021 年 9 月卸任
                                                   原董事李昌龙担任副董事长,已于 2001
 39           沈阳澳科信通信设备有限公司           年 5 月被吊销营业执照,但截至 2022
                                                        年 3 月 8 日签署日尚未注销
 40     国开熔华产业投资基金管理有限责任公司              原董事苏庆祥担任董事
                                                   原董事苏庆祥担任董事长、总经理;原
 41         沈阳产业投资发展集团有限公司
                                                             监事韩波担任董事
                                                   原董事苏庆祥曾担任董事长、总经理,
 42       沈阳达锐股权投资基金管理有限公司
                                                           已于 2021 年 4 月卸任
 43           沈阳长江源科技发展有限公司                  原董事苏庆祥担任董事
                                                   原董事苏庆祥曾担任董事长,已于 2020
 44            沈阳达锐投资管理有限公司
                                                                 年 1 月卸任
                                                   原董事苏庆祥曾担任董事长,已于 2020
 45            沈阳养老产业集团有限公司
                                                                 年 3 月卸任
                                                   原董事苏庆祥曾担任董事,已于 2019
 46              沈阳硅基科技有限公司
                                                                年 12 月卸任
                                                   原董事苏庆祥曾担任董事长,已于 2019
 47     沈阳市皇姑区达锐经济咨询服务有限公司       年 12 月卸任;原监事韩波曾担任董事,
                                                           已于 2019 年 12 月卸任
                                                   原董事苏庆祥曾担任董事、总经理,已
 48         沈阳生物医药创业投资有限公司           于 2019 年 12 月卸任;原监事韩波曾担
                                                      任董事,已于 2018 年 11 月卸任
                                                   原董事苏庆祥曾担任董事长,已于 2019
 49       沈阳生物医药创业投资管理有限公司
                                                   年 11 月卸任;原监事韩波担任董事长
                                                   原董事苏庆祥曾担任董事长,已于 2019
 50     沈阳养老产业集团居家养老服务有限公司       年 11 月卸任,该企业已于 2021 年 9 月
                                                                  17 日注销
                                                   原董事苏庆祥曾担任董事,该公司已于
 51            沈阳城市发展集团有限公司
                                                           2018 年 11 月 21 日注销
                                                   原董事苏庆祥曾担任董事,已于 2019
 52       东北制药集团辽宁生物医药有限公司
                                                                年 11 月卸任
                                                   原董事苏庆祥担任副董事长,已于 2017
 53         沈阳沈飞国际商用飞机有限公司           年 3 月卸任;原监事韩波曾担任董事,
                                                            已于 2017 年 3 月卸任
 54            上海士奇投资管理有限公司                  原董事王坤秀担任董事长

 55         北京驰奈生物能源科技有限公司                  原董事王坤秀担任董事

 56         士奇(中国)融资租赁有限公司                原董事王坤秀担任执行董事

                                         1-1-180
拓荆科技股份有限公司                                                         招股说明书



序号                   关联方姓名/名称                          关联关系
                                                   原董事王坤秀担任董事长;原董事王海
 57         大连港航产业基金管理有限公司           涛曾担任执行董事,已于 2021 年 1 月
                                                                 卸任
 58         大连微投智能科技发展有限公司                原董事王坤秀担任执行董事

 59            上海福隆投资管理有限公司                 原董事王坤秀担任执行董事

 60      深圳前海港航石化供应链管理有限公司        原董事王坤秀担任执行董事、总经理
                                                   原董事王坤秀曾担任董事,该公司已于
 61     中科港航春圃(大连)科技发展有限公司
                                                         2021 年 10 月 15 日注销
                                                   原董事王坤秀担任董事,该公司已于
 62       天津伟嘉海事咨询服务股份有限公司
                                                       2021 年 6 月被吊销营业执照
        大连东北亚国际航运中心船舶交易市场有
 63                                                       原董事王坤秀担任董事
                      限公司
 64         上海士琦投资中心(有限合伙)             原董事王坤秀担任执行事务合伙人

 65         上海万涪投资中心(有限合伙)             原董事王坤秀担任执行事务合伙人
                                                   原董事王坤秀曾担任董事长,后于 2019
 66              上海京瀚海运有限公司              年 8 月卸任;2021 年 11 月起,王坤秀
                                                            重新担任该企业董事长
                                                   原董事王坤秀曾担任董事长,已于 2017
 67            大连京瀚科技发展有限公司
                                                                  年 8 月卸任
                                                   原董事王坤秀曾担任董事,已于 2017
 68            大连陆海科技股份有限公司
                                                                  年 9 月卸任
                                                   原董事王坤秀曾担任执行董事、副总经
 69         大连港航春圃管理咨询有限公司
                                                          理,已于 2017 年 8 月卸任
                                                   原董事王坤秀曾担任执行董事,已于
 70            上海蓁蓁体育发展有限公司
                                                              2017 年 2 月卸任
                                                   原董事王坤秀曾担任董事,已于 2018
 71              大连泰硕科技有限公司              年 9 月卸任;原董事王海涛曾担任董事,
                                                            已于 2021 年 10 月卸任
                                                   原董事王坤秀曾担任执行董事,已于
 72            北京士奇投资管理有限公司
                                                              2019 年 7 月卸任
                                                   原董事王坤秀曾担任董事长,该公司已
 73            上海鼎合医疗科技有限公司
                                                          于 2020 年 1 月 20 日注销
                                                   原董事王坤秀曾担任董事长,该公司已
 74        大连金杏林医学科技开发有限公司
                                                          于 2020 年 6 月 11 日注销
                                                   原董事王军曾担任董事,已于 2017 年 8
 75           上海硅产业集团股份有限公司
                                                                    月卸任
                                                   原董事王军曾担任董事,已于 2017 年 5
 76        江苏鑫华半导体材料科技有限公司
                                                                    月卸任
                                                   原董事王军曾担任董事,已于 2017 年 9
 77            华天科技(西安)有限公司
                                                                    月卸任
                                                   原董事王军曾担任董事,已于 2017 年
 78            烟台德邦科技股份有限公司
                                                                  11 月卸任
                                                   原董事王军曾担任董事,已于 2018 年 6
 79     中芯北方集成电路制造(北京)有限公司
                                                                    月卸任


                                         1-1-181
拓荆科技股份有限公司                                                         招股说明书



序号                   关联方姓名/名称                          关联关系
                                                   原董事王军曾担任董事,已于 2018 年 3
  80           天水华天科技股份有限公司
                                                                 月卸任
                                                   原董事王军曾担任董事,已于 2018 年 5
  81            南通通润达投资有限公司
                                                                 月卸任
                                                   原董事王军曾担任董事,已于 2018 年 5
  82            南通富润达投资有限公司
                                                                 月卸任
                                                   原董事王军曾担任董事,已于 2018 年 6
  83          苏州通富超威半导体有限公司
                                                                 月卸任
                                                   原董事王军曾担任董事,已于 2017 年 5
  84        福建省安芯投资管理有限责任公司
                                                                 月卸任
                                                     原董事王常担任董事长,并持有其
  85           浙江汉金投资管理有限公司
                                                               90.00%股份
  86         杭州股盛网络科技股份有限公司             原董事王常担任董事长、总经理
                                                   原董事王常曾担任执行董事、总经理,
  87           杭州景佑园林工程有限公司
                                                     该公司已于 2017 年 9 月 8 日注销
                                                   原董事王海涛曾担任执行董事、经理,
  88         京瀚(舟山)商务咨询有限公司
                                                     该公司已于 2021 年 6 月 22 日注销
  89           上海捷钥船舶管理有限公司                  原董事王海涛担任董事长
         厦门涌联航运有限公司(曾用名:厦门建      原董事王海涛曾担任董事,已于 2021
  90
                   发航运有限公司)                            年 4 月卸任
  91       大连船舶产业投资基金管理有限公司           原董事王海涛担任董事、总经理

  92      大连东北亚国际航运投资担保有限公司       原董事王海涛担任董事、总经理企业
                                                   原监事刘井岩的哥哥刘景辉担任副总
  93          北京祥辉房地产开发有限公司
                                                                 经理
  94           沈阳天峰生物制药有限公司                    原监事韩波担任董事
                                                   原监事韩波曾担任董事,已于 2020 年 7
  95              沈阳港集团有限公司
                                                                  月卸任
                                                   原监事韩波曾担任董事,已于 2019 年
  96             沈阳通用软件有限公司
                                                                 12 月卸任
                                                   原监事韩波曾担任董事,已于 2019 年
  97       沈阳麦克奥迪病理诊断中心有限公司
                                                                 12 月卸任
                                                   原董事王海涛曾持有其 99.00%财产份
  98       大连鼎合投资管理中心(有限合伙)
                                                         额,已于 2021 年 7 月撤资
                                                   原董事王海涛曾持有其 99.00%财产份
  99       大连正合投资管理中心(有限合伙)
                                                         额,已于 2021 年 7 月撤资

       7、其他关联方

       除上述关联方外,根据实质重于形式的原则以及《上海证券交易所上市公司
关联交易实施指引》相关规定认定的发行人关联方如下:

序号                        名称                                  关联关系



                                         1-1-182
拓荆科技股份有限公司                                                            招股说明书



序号                        名称                                    关联关系
                                                   直接持有发行人子公司拓荆键科
 1            海宁君鑫科技合伙企业(有限合伙)
                                                             20.00%股份
                                                 发行人股东国投上海持有其 99.70%财
 2          上海旷泰企业管理中心(有限合伙)
                                                               产份额
                                                 发行人股东嘉兴君励、盐城燕舞的执
 3              上海临芯投资管理有限公司         行事务合伙人,可对发行人 8.97%股份
                                                     表决权的行使施加重大影响
                                                 发行人股东,姜谦的一致行动人周仁
 4            江苏微导纳米科技股份有限公司
                                                             担任总经理
                                                 发行人股东中微公司持有其 98.00%财
 5      无锡正海缘宇创业投资合伙企业(有限合伙)
                                                               产份额

       除上述企业外,发行人的其他关联方还包括:①发行人的董事、监事及高级
管理人员的关系密切的家庭成员(包括配偶、父母、配偶的父母、兄弟姐妹及其
配偶、年满 18 周岁的子女及其配偶、配偶的兄弟姐妹和子女配偶的父母)以及
该等家庭成员直接或者间接控制的或者担任董事、高级管理人员的除发行人及其
下属公司以外的法人或者其他组织;②因与发行人或其关联方签署协议或者作出
安排而在该等协议或安排生效后,或在未来 12 个月内将成为发行人关联方的主
体;③其他在报告期前 12 个月内曾经是发行人关联方的主体;④根据实质重于
形式的原则认定的其他与发行人具有特殊关系,可能造成发行人对其利益有倾斜
的主体。

       (二)报告期内关联交易情况

       1、经常性关联交易

       (1)向关联方销售商品或提供劳务

       报告期内,发行人与中微公司存在与主营业务有关的销售商品提供劳务交
易,情况如下:

                                                                               单位:万元
     关联方        关联交易内容     2021 年 1-9 月   2020 年度    2019 年度    2018 年度
                   设备销售、薄膜
     中微公司                                   -        33.98      895.55         26.28
                       加工
中芯北方集成
电路制造(北           原材料                   -          -           -         44.20
京)有限公司
       经常性关联销售合计                      -         33.98      895.55         70.47



                                          1-1-183
拓荆科技股份有限公司                                                       招股说明书



   关联方        关联交易内容   2021 年 1-9 月   2020 年度    2019 年度    2018 年度

            营业收入                 37,389.57    43,562.77    25,125.15     7,064.40

经常性关联销售占营业收入比例                -       0.08%        3.56%        1.00%


     报告期各期,发行人关联销售金额分别为 70.47 万元、895.55 万元、33.98
万元和 0.00 万元,占营业收入比例分别为 1.00%、3.56%、0.08%和 0.00%,占比
较低。2019 年金额较大、占比较高是由于当年度发行人向中微公司销售了一台
主营产品所致。

     ①与中微公司的交易

     报告期内,发行人向中微公司销售设备、提供薄膜加工服务。发行人向中微
公司销售的交易价格由双方基于市场公平交易原则协商确定,价格公允。

     中微公司与发行人都为半导体设备企业,中微公司主要产品为刻蚀设备,发
行人主要产品为薄膜沉积设备。根据芯片制造的工艺流程,刻蚀工序需要在沉积
好的薄膜之上进行。刻蚀机的研发需要在不同材料的薄膜上进行各类工艺刻蚀测
试,以验证在研设备的性能指标。报告期内,中微公司向发行人采购薄膜加工服
务。中微公司向发行人提供晶圆,发行人根据要求在晶圆上沉积特定材料的薄膜
后送还中微公司,收取服务费。2019 年,中微公司根据自身研发需求,向发行
人采购一台单腔 PECVD 设备,自行加工薄膜用于刻蚀设备研发测试,具备商业
合理性。

     发行人于 2019 年度向中微公司销售一台售价为 867.70 万元的 PECVD 设备,
型号为 PF-300T,含单个反应腔。在发行人销售的同型号单腔体设备中,功能定
制的区别导致定价不同,报告期内该类产品销售均价为 851.20 万元。整体上发
行人出售给中微公司的设备售价略高于同类产品的均价,处于正常价格区间内。
此外,发行人向中微公司销售该设备的毛利率为 32.93%,与 2019 年主营业务收
入毛利率 31.99%较为接近。

     ②与中芯北方集成电路制造(北京)有限公司的交易

     2016 年和 2017 年,中芯北方集成电路制造(北京)有限公司向发行人采购
了多台 PECVD 设备。基于设备使用中的耗损、工艺更换或移机操作等因素,中


                                      1-1-184
 拓荆科技股份有限公司                                                             招股说明书



 芯北方集成电路制造(北京)有限公司需要向发行人采购喷淋板、加热带等零部
 件,该等交易的交易价格由双方基于市场公平交易原则协商确定,价格公允。

      (2)向关联方采购商品或接受劳务

                                                                                 单位:万元
                                           2021 年
   关联方名称            关联交易内容                  2020 年度    2019 年度      2018 年度
                                            1-9 月
    沈阳富创                原材料          1,393.81      821.20       307.05           87.30

     中科仪             原材料、服务费         25.13       67.02        20.62           10.75
                        原材料、软件许可
    中微公司                                   73.98      191.68        21.32           38.10
                            使用费
新加坡商中微半导
体设备股份有限公          佣金代理费          101.95      135.56       149.76           89.88
  司台湾分公司
中微惠创科技(上
                            服务费               -        38.12        38.12          119.13
  海)有限公司
中微汇链科技(上
                        服务费、长期资产      110.49      157.44        52.36              -
  海)有限公司
杭州中欣晶圆半导
                            原材料              7.35       42.83           -              -
体股份有限公司
沈阳硅基科技有限
                            服务费              1.60         3.20          -              -
      公司
        经常性关联采购合计                  1,714.31     1,457.06      589.22          345.16

               营业成本                    20,271.00    28,725.47    17,122.39       4,827.04

  经常性关联采购占营业成本比例                8.46%        5.07%        3.44%          7.15%


      报告期各期,发行人与关联方间的经常性关联采购金额分别为 345.16 万元、
 589.22 万元、1,457.06 万元和 1,714.31 万元,占同期营业成本比例分别为 7.15%、
 3.44%、5.07%和 8.46%,整体占比较低。其中,2021 年 1-9 月占比较高的原因主
 要为该期间内发行人自沈阳富创处采购的原材料较往年同期增长较大。

      ①与沈阳富创的采购交易

      报告期内,发行人主要向沈阳富创采购反应腔、传输腔及其他配件。沈阳富
 创具有先进的焊接技术,主要生产集成电路设备精密零部件。随着产量增加,发
 行人的采购策略是同一类别原材料至少存在两家可替代的供应商,减少独家供应
 的情况。发行人基于技术要求、运输成本及保证供货及时性等因素,就近选择本
 地供应商,与沈阳富创达成合作;交易价格由双方基于市场公平交易原则协商确


                                           1-1-185
拓荆科技股份有限公司                                           招股说明书



定,价格公允。

     ②与中科仪的采购交易

     报告期内,发行人主要向中科仪采购真空泵以及真空设备的维修服务。中科
仪主要从事干式真空泵、真空仪器设备的研发、生产和销售,并提供相关技术服
务。发行人于 2020 年向中科仪采购真空泵设备,主要系因客户指定;此外,发
行人所用真空设备需要专业技术维修,就近选择中科仪作为维修服务的供应商。
发行人与中科仪的交易价格由双方基于市场公平交易原则协商确定,价格公允。

     ③与中微公司及其下属公司的采购交易

     中微公司为发行人持股 5%以上主要股东,新加坡商中微半导体设备股份有
限公司台湾分公司、中微惠创科技(上海)有限公司、中微汇链科技(上海)有
限公司都为中微公司控制的下属公司。

     报告期内,发行人主要向中微公司采购零部件和软件许可使用服务。发行人
向中微公司零星采购的零部件包括节流阀、物料升降机小工具、碳钢制螺钉等,
主要用于研发活动。发行人采购上述零部件的数量较少,而中微公司有相关的现
货,为缩短采购周期,发行人选择向中微公司进行采购。报告期内,发行人使用
中微公司的 300 毫米 CVD 系统控制软件源代码参考包和工厂自动化软件包进行
软件开发,并应用于公司生产的设备。在装载相应软件的设备发往客户端后,每
台按照固定金额向中微公司支付软件许可使用费。在中微公司成为公司股东前,
发行人已与中微公司存在上述交易。基于供应链稳定性的考虑,在中微公司成为
公司股东之后,发行人继续选择向中微公司采购软件许可使用服务。

     报告期内,新加坡商中微半导体设备股份有限公司台湾分公司为发行人提供
中国台湾地区的产品销售代理服务。发行人目前的员工主要分布在中国大陆,对
中国台湾地区的相关客户,需要寻找当地专业的公司协助发行人提供服务。中微
公司作为半导体行业领先的企业,具备专业服务资质,故发行人选择中微公司下
属的新加坡商中微半导体设备股份有限公司台湾分公司作为合作方,由其负责维
护中国台湾地区客户关系、提供场所和售后服务等工作。

     报告期内,发行人基于服务便利性等因素考虑向中微公司下属企业中微惠创


                                 1-1-186
拓荆科技股份有限公司                                                      招股说明书



科技(上海)有限公司采购 SAP、PLM、OA 等信息系统及维护服务,并在系统
回迁公司时向中微公司下属企业中微汇链科技(上海)有限公司采购了 SAP 系
统迁移服务和 SAP 服务器。

     上述发行人自中微公司及其下属公司采购产品或服务的交易价格,根据其实
际销售产品或提供服务的成本加合理利润确定,价格公允。

     ④与杭州中欣晶圆半导体股份有限公司的采购交易

     杭州中欣晶圆半导体股份有限公司为发行人主要供应商之一杭州大和热磁
电子有限公司于 2017 年设立的子公司,系发行人 2020 年通过招标引入的国产硅
片供应商,双方交易价格公允。

     发行人采购硅片主要是用于机台功能测试,一般采用竞标的采购方式。由发
行人提出技术指标要求,发给已验证的供应商,由供应商报价,低价者中标。

     ⑤与沈阳硅基科技有限公司的采购交易

     报告期内,发行人向沈阳硅基科技有限公司采购晶圆测试服务。发行人为满
足研发需求和相关客户需求,进行晶圆测试,基于技术要求、运输成本等因素,
就近选择本地供应商,与沈阳硅基科技有限公司达成合作,交易价格由双方基于
市场公平交易原则协商确定,价格公允。

     (3)关键管理人员报酬

                                                                         单位:万元
       项目            2021 年 1-9 月      2020 年度      2019 年度      2018 年度

关键管理人员报酬                681.04         1,309.32       1,008.89        968.97


     2018 年、2019 年、2020 年、2021 年 1-9 月,发行人向当年度任职的董事、
监事、高级管理人员支付的薪酬分别为 968.97 万元、1,008.89 万元、1,309.32 万
元和 681.04 万元。报告期内,发行人关键管理人员的薪酬水平与市场相当,公
允合理。

     2、偶发性关联交易

     (1)关联销售


                                         1-1-187
拓荆科技股份有限公司                                                         招股说明书


                                                                            单位:万元
 关联方名称       关联交易内容    2021 年 1-9 月   2020 年度   2019 年度    2018 年度

  沈阳富创             原材料                 -          -         6.87           -

      偶发性关联销售合计                      -          -         6.87           -

           营业收入                    37,389.57   43,562.77    25,125.15      7,064.40

偶发性关联销售占营业收入比例                  -          -       0.03%            -


     2019 年,发行人向沈阳富创销售原材料取得 6.87 万元的其他业务收入,占
当年度营业收入比例仅为 0.03%,交易价格由双方基于市场公平交易原则协商确
定,价格公允。

     发行人向沈阳富创销售原材料主要是因为沈阳富创需要采购铝毛坯,而发行
人有铝毛坯呆滞库存并且尺寸符合沈阳富创的需求,故发行人将铝毛坯作为原材
料销售给沈阳富创,具备商业合理性。

     (2)关联采购

                                                                            单位:万元
 关联方名称       关联交易内容    2021 年 1-9 月   2020 年度   2019 年度    2018 年度
沈阳通用软件
                       软件系统               -          -          -         22.22
  有限公司
中芯北方集成
电路制造(北           无尘衣                 -          -          -           0.27
京)有限公司
      偶发性关联采购合计                      -          -          -         22.50

           营业成本                    20,271.00   28,725.47    17,122.39      4,827.04

经常性关联采购占营业成本比例                  -          -          -         0.47%


     2018 年,发行人偶发性关联采购金额为 22.50 万元,占当年度营业成本比例
为 0.47%,占比较低。

     ①与沈阳通用软件有限公司的交易

     2018 年,发行人基于技术需求和便捷性考虑,从本地供应商沈阳通用软件
有限公司处采购软件系统,主要用于公司信息安全保护,交易价格由沈阳通用软
件根据发行人功能需求,按照功能模块及终端用户授权数量提供报价后,双方协
商确定,价格公允。

                                        1-1-188
拓荆科技股份有限公司                                            招股说明书



     ②与中芯北方集成电路制造(北京)有限公司的交易

     发行人在客户处设有技术支持中心,员工进入客户洁净车间需穿无尘衣。发
行人基于客观需求于 2018 年向中芯北方集成电路制造(北京)有限公司购买了
多套无尘衣,交易价格由双方基于市场公平交易原则协商确定,价格公允。

     (3)关联担保

     报告期内,发行人不存在关联担保情况。

     (4)关联方资金占用

     报告期内,发行人不存在关联方资金占用情况。

     (5)与关联方共同出资设立公司

     如本招股说明书“重大事项提示”之“五、其他重大事项”之“(三)发行
人与高级管理人员共同投资行为”处的分析,拓荆有限对拓荆键科出资合法合规、
出资价格公允。

     (6)其他关联交易

     嘉兴君励、润扬嘉禾系发行人持股 5%的主要股东,上海临芯投资管理有限
公司为嘉兴君励的执行事务合伙人。嘉兴君励、润扬嘉禾在 2019 年 12 月拓荆有
限第四次增资时成为公司股东,根据本次增资时的出资人承诺书,嘉兴君励、润
扬嘉禾等股东需向拓荆有限缴纳相应的投资保证金。

     2019 年 11 月,嘉兴君励的执行事务合伙人上海临芯投资管理有限公司代其
向拓荆有限缴纳投资保证金 666 万元。2020 年 1 月嘉兴君励缴付出资款后,拓
荆有限于 2020 年 1 月及时将投资保证金退还给上海临芯投资管理有限公司。

     2019 年 11 月,润扬嘉禾向拓荆有限缴纳投资保证金 592 万元。由于润扬嘉
禾未能在《增资协议》约定的期限内及时缴付出资款,根据相关协议约定及拓荆
有限相关董事会决议,拓荆有限向润扬嘉禾收取逾期违约金 202.99 万元。2020
年拓荆有限在扣除前述违约金后将投资保证金退还给润扬嘉禾。




                                  1-1-189
拓荆科技股份有限公司                                                       招股说明书



       3、报告期内关联交易简要汇总

序号        关联方名称         发生时间     交易金额(万元)       主要交易内容
                              2018-2020                        销售设备、提供薄膜加工
 1           中微公司                                955.81
                                年度                                   服务
        中芯北方集成电路制
 2                            2018 年度               44.20         销售原材料
        造(北京)有限公司
 3           沈阳富创         报告期各期            2,609.36        购买原材料

 4            中科仪          报告期各期             123.52    购买原材料及维修服务
                                                               购买原材料、软件许可使
 5           中微公司         报告期各期             325.08
                                                                       用费
        新加坡商中微半导体
 6      设备股份有限公司台 报告期各期                477.15       支付佣金代理费
              湾分公司
        中微惠创科技(上海) 2018-2020 年                      购买 SAP、PLM、OA 系
 7                                                   195.38
              有限公司            度                                统及维修服务
                             2019-2020 年
        中微汇链科技(上海)
 8                           度、2021 年             320.29    购买服务器、支付服务费
              有限公司
                                1-9 月
                             2020 年度、
        杭州中欣晶圆半导体
 9                            2021 年 1-9             50.18         购买原材料
            股份有限公司
                                  月
                             2020 年度、
        沈阳硅基科技有限公
 10                           2021 年 1-9               4.80     购买晶圆测试服务
                司
                                  月
 11        关键管理人员       报告期各期            3,968.22       提供员工报酬

 12          沈阳富创         2019 年度                 6.87        销售原材料
        沈阳通用软件有限公
 13                           2018 年度               22.22        购买软件系统
                司
        中芯北方集成电路制
 14                           2018 年度                 0.27        购买无尘衣
        造(北京)有限公司
                                                               共同出资设立控股子公
 15     海宁展博、海宁展阳    2020 年度                    -
                                                                       司
        上海临芯投资管理有   2019-2020 年
 16                                                  666.00    缴纳、退还投资保证金
              限公司              度
                             2019-2020 年
 17          润扬嘉禾                                592.00    缴纳、退还投资保证金
                                  度
 18          润扬嘉禾         2020 年度              202.99     润扬嘉禾支付违约金




                                      1-1-190
拓荆科技股份有限公司                                                                               招股说明书



       4、关联方应收、应付款项情况

       (1)应收关联方款项

                                                                                                 单位:万元
                       2021.9.30               2020.12.31             2019.12.31                2018.12.31
项目     关联方      账面       坏账         账面       坏账        账面        坏账        账面         坏账
                     余额       准备         余额       准备        余额        准备        余额         准备
应收       中微
                            -          -      24.00          0.24   110.94       1.11       30.48         0.30
账款       公司
         中微汇
其他
         链科技
非流
           (上      110.49            -            -           -          -           -           -            -
动资
         海)有
  产
         限公司
       合计          110.49            -      24.00          0.24   110.94       1.11       30.48         0.30


       截至报告期末,发行人仅与关联方中微汇链科技(上海)有限公司存在 110.49
万元 PLM 系统迁移费的预付款。

       (2)应付关联方款项
                                                                                                 单位:万元
 项目             关联方                   2021.9.30            2020.12.31      2019.12.31       2018.12.31

               沈阳富创                          892.64              744.81        258.98               52.92

                  中科仪                            60.94             61.31            14.00             5.60

               中微公司                             88.00             40.88            18.91            14.94
 应付
          中微惠创科技(上
 账款                                                   -                 -              -           70.00
            海)有限公司
          中微汇链科技(上
                                                        -            15.85            16.80              -
            海)有限公司
          杭州中欣晶圆半导
                                                        -                 -              -             -
          体股份有限公司
              合计                             1,041.57              862.85        308.68              143.46
 应付
               沈阳富创                          773.93              463.30            55.84              -
 票据
              合计                               773.93              463.30            55.84              -

 其他          润扬嘉禾                                 -                 -      592.00                 -
 应付     上海临芯投资管理
 款                                                     -                 -      666.00                 -
              有限公司
              合计                                      -                 -     1,258.00                -



                                                 1-1-191
拓荆科技股份有限公司                                              招股说明书



     报告期内发行人应付关联方款项主要是自沈阳富创、中科仪、中微公司及其
下属公司、杭州中欣晶圆半导体股份有限公司采购原材料或相关服务所产生的应
付款项,以及应退还给润扬嘉禾和上海临芯投资管理有限公司的投资保证金。

     5、关联交易对发行人财务状况和经营成果的影响

     报告期内,发行人与关联方之间发生的关联交易占营业收入、营业成本比例
较小,定价公允,不存在发行人对关联方形成依赖的情形,也不存在利用关联交
易进行利益输送的情形,对发行人的财务状况和经营成果未产生重大影响。

     (三)规范和减少关联交易的承诺

     为规范和减少可能出现的主要股东在有关商业交易中影响发行人,从而做出
可能损害公司利益的情况,发行人持股 5%以上的主要股东出具了《关于规范和
减少关联交易的承诺函》,主要内容详见本招股说明书“第十三节 附件”之“附
表 5:与投资者保护相关的承诺”之“(十)规范和减少关联交易的承诺”。

十二、报告期内关联交易制度的执行情况及独立董事意见

     根据《公司章程》《关联交易管理制度》规定的审议权限,2021 年 5 月 21
日,公司召开董事会会议审议通过了《关于确认公司 2018 年、2019 年、2020
年及 2021 年 1-3 月关联交易的议案》;2021 年 6 月 15 日,发行人召开 2020 年
年度股东大会审议通过了前述议案。发行人总经理确认了 2018 年、2019 年、2020
年及 2021 年 1-3 月发生的前述议案以外的关联交易事项。

     2021 年 5 月 21 日,发行人第一届董事会第四次会议暨 2020 年度董事会审
议通过了《关于预计公司 2021 年度日常性关联交易的议案》;2021 年 6 月 15
日,发行人召开 2020 年度股东大会审议通过了前述议案;发行人 2021 年 1-9 月
发生的关联交易内容及金额处于前述议案预计的内容及金额范围内。

     发行人全体独立董事就报告期内关联交易事项出具了独立意见,确认如下:
“公司最近三年及一期(即 2018 年、2019 年、2020 年及 2021 年 1-9 月)与关
联方发生的关联交易均遵循了平等、自愿、等价、有偿的原则,有关协议或合同
所确定的条款是公允的、合理的,关联交易的价格依据市场定价原则或者按照使


                                  1-1-192
拓荆科技股份有限公司                                           招股说明书



公司或非关联股东受益的原则确定,符合《公司法》和公司章程的相关规定,不
存在损害公司利益或非关联股东利益的情况。”

     上述关联交易的决策程序符合《公司章程》的规定,根据独立董事的独立意
见,发行人报告期内的关联交易价格公允,未损害公司和非关联股东的利益,关
联董事、关联股东在审议该议案时进行了回避表决,独立董事和监事会成员未发
表不同意见。

十三、报告期内关联方的变化情况

     (一)报告期内发行人关联方变化情况

     报告期内,公司关联方变化情况主要如下:

     1、报告期内关联法人的变化情况

     报告期内发行人关联法人的变化情况,详见本节“十一、关联方、关联关系
及关联交易”之“(一)关联方及关联关系”。

     2、报告期内关联自然人的变化情况

     报告期内,董事、监事、高级管理人员变动构成发行人关联自然人变化;报
告期内,发行人董事、监事或高级管理人员关系密切的家庭成员的变化也构成发
行人关联自然人变化。根据相关法律法规,关系密切的家庭成员包括配偶、父母、
年满 18 周岁的子女及其配偶、兄弟姐妹及其配偶,配偶的父母、兄弟姐妹,子
女配偶的父母。

     (二)比照关联交易披露的交易

     报告期内,发行人与由关联方变为非关联方的相关法人或自然人,不存在需
要比照关联交易披露的交易。




                                 1-1-193
拓荆科技股份有限公司                                                             招股说明书




                  第八节 财务会计信息与管理层分析


     本节的财务会计数据及有关分析反映了本公司及子公司最近三年及一期经
审计的财务报表及附注的主要内容。本节引用的财务数据,非经特别说明,均引
自天健会计师事务所(特殊普通合伙)出具的标准无保留意见《审计报告》(天
健审[2022]8 号),并以合并口径反映。

     本公司提醒投资者,若欲对本公司的财务状况、经营成果、现金流量及会计
政策进行更详细的了解,应当认真阅读相关财务报告及审计报告全文。

     公司在进行财务状况及盈利能力分析时,选择国内公开市场上同样为半导体
专用整机设备研发、生产和销售等业务的中微公司(688012.SH)、芯源微
(688037.SH)、盛美上海(688082.SH)和华海清科(拟上市,A20569.SH)作
为可比公司。可比公司的相关信息均来自其公开披露资料,公司不对其准确性、
真实性作出判断。

一、报告期内财务报表

     (一)合并资产负债表

                                                                                  单位:元
                         2021 年           2020 年           2019 年            2018 年
      项目
                        9 月 30 日       12 月 31 日       12 月 31 日        12 月 31 日
   流动资产:

    货币资金           805,305,025.10 1,003,997,490.65     362,168,782.00    275,452,133.79

    应收票据             3,500,000.00                  -      400,000.00                  -

    应收账款           122,271,243.23    71,891,698.48     131,388,588.66     62,719,948.71

    预付款项            45,043,841.65     3,681,029.76       2,077,991.38      2,782,662.47

   其他应收款            4,247,759.12     3,004,445.00       3,069,298.49     14,994,004.70

      存货             978,388,317.42   512,080,385.24     349,976,861.13    322,327,688.24

    合同资产             8,105,281.41     2,648,200.50                   -                -
 一年内到期的非
                         1,823,580.00       535,590.00                   -                -
   流动资产
  其他流动资产          68,166,029.28    11,204,178.48       7,477,183.17     15,980,448.11

                                         1-1-194
拓荆科技股份有限公司                                                                   招股说明书


                           2021 年             2020 年             2019 年            2018 年
      项目
                          9 月 30 日         12 月 31 日         12 月 31 日        12 月 31 日
  流动资产合计         2,036,851,077.21    1,609,043,018.11     856,558,704.83     694,256,886.02

  非流动资产:

   长期应收款                          -                   -                   -        60,000.00

    固定资产            158,399,773.79      164,695,319.27      177,830,871.35     191,018,140.79

    在建工程            100,393,409.29                     -                   -                  -

   使用权资产              1,678,825.64

    无形资产             36,763,420.62       38,198,406.02       39,727,298.01      40,846,594.41

 递延所得税资产            1,403,005.80

 其他非流动资产            9,809,405.36        2,132,350.00                    -      264,000.00

 非流动资产合计         308,447,840.50      205,026,075.29      217,558,169.36     232,188,735.20

    资产总计           2,345,298,917.71    1,814,069,093.40    1,074,116,874.19    926,445,621.22

   流动负债:

    短期借款                           -                   -                   -    30,156,652.33

    应付票据            120,866,654.75       91,586,184.48       36,229,223.78      29,175,678.80

    应付账款            264,405,225.33      122,425,061.53       82,393,615.66      60,930,817.87

    预收款项                           -                   -     56,002,078.20      46,842,000.00

    合同负债            445,141,643.61      134,257,091.68                     -                  -

  应付职工薪酬           18,736,136.28       22,424,587.97       11,081,991.19       6,716,786.32

    应交税费               4,874,519.66        5,058,751.10       2,198,791.25       1,801,265.25

   其他应付款                47,757.74          340,940.94       13,206,424.83        178,757.43
 一年内到期的非
                            610,426.38
   流动负债
  其他流动负债           57,866,914.13       17,453,421.92                     -                  -

  流动负债合计          912,549,277.88      393,546,039.62      201,112,124.91     175,801,958.00

  非流动负债:

   长期应付款                          -                   -                   -      350,985.49

    租赁负债                895,046.38

    预计负债             35,347,581.23       27,619,567.44       12,504,894.76       3,844,737.99

    递延收益            216,231,057.13      270,404,740.53       46,230,297.49      52,294,434.29



                                             1-1-195
拓荆科技股份有限公司                                                                    招股说明书


                           2021 年              2020 年            2019 年              2018 年
      项目
                          9 月 30 日          12 月 31 日        12 月 31 日          12 月 31 日
 递延所得税负债                  834.23

 非流动负债合计          252,474,518.97      298,024,307.97      58,735,192.25       56,490,157.77

    负债合计           1,165,023,796.85      691,570,347.59     259,847,317.16      232,292,115.77

   股东权益:

      股本                94,858,997.00        94,858,997.00     78,411,628.50       71,174,787.00

    资本公积           1,001,575,585.12     1,280,812,453.49    977,830,688.99      845,585,030.67

    盈余公积                            -       1,303,267.08      1,303,267.08         1,303,267.08

   未分配利润             84,466,514.33      -254,765,043.20   -243,276,027.54      -223,909,579.30
 归属于母公司股
 东的股东权益合        1,180,901,096.45     1,122,209,674.37    814,269,557.03      694,153,505.45
       计
  少数股东权益              -625,975.59          289,071.44                     -                   -

  股东权益合计         1,180,275,120.86     1,122,498,745.81    814,269,557.03      694,153,505.45
 负债和股东权益
                       2,345,298,917.71 1,814,069,093.40       1,074,116,874.19     926,445,621.22
     总计

     (二)合并利润表

                                                                                         单位:元
     项目          2021 年 1-9 月            2020 年度          2019 年度            2018 年度

一、营业收入       373,895,652.48           435,627,676.17     251,251,546.85        70,644,026.75

减:营业成本       202,710,018.66           287,254,727.29     171,223,934.80        48,270,366.35

 税金及附加             4,761,891.55          2,185,328.84       2,195,898.70          2,110,727.48

  销售费用             52,939,055.57         66,354,085.59      46,976,512.91        40,257,517.58

  管理费用             23,478,596.10         27,932,438.64      21,442,074.96        22,504,724.76

  研发费用         129,556,328.43           122,781,824.63      74,318,715.86       107,973,139.03

  财务费用             -15,180,671.38       -10,358,884.67      -3,314,987.71         -3,163,711.40

加:其他收益           80,832,808.55         51,033,846.90      43,328,955.34        46,970,517.70
资产减值损失
  (损失以              -1,771,862.93        -5,209,649.49        -148,999.15         -2,882,623.31
“-”号填列)
信用减值损失
  (损失以              1,592,798.90           945,595.60         -964,609.54                       -
“-”号填列)



                                               1-1-196
拓荆科技股份有限公司                                                           招股说明书



     项目          2021 年 1-9 月        2020 年度        2019 年度         2018 年度
资产处置收益
  (损失以                 -12,968.60         -4,771.48                -         -7,390.19
“-”号填列)
二、营业利润
(亏损以“-”          56,271,209.47     -13,756,822.62   -19,375,256.02   -103,228,232.85
  号填列)
加:营业外收入           1,111,524.30     2,072,507.32        39,404.58           5,347.47

减:营业外支出                      -        15,628.92        30,596.80                  -
三、利润总额
(亏损总额以           57,382,733.77     -11,699,944.22   -19,366,448.24   -103,222,885.38
“-”号填列)
减:所得税费用            333,999.92                                   -                 -
四、净利润(净
亏损以“-”号          57,048,733.85     -11,699,944.22   -19,366,448.24   -103,222,885.38
    填列)
归属于母公司
所有者的净利
                       57,963,780.88     -11,489,015.66   -19,366,448.24   -103,222,885.38
润(净亏损以
“-”号填列)
少数股东损益             -915,047.03       -210,928.56                 -                 -
五、其他综合收
                                    -                 -                -                 -
益的税后净额
六、综合收益总
                       57,048,733.85     -11,699,944.22   -19,366,448.24   -103,222,885.38
      额
归属于母公司
股东的综合收           57,963,780.88     -11,489,015.66                -                 -
    益总额
归属于少数股
东的综合收益             -915,047.03       -210,928.56                 -                 -
      总额
七、每股收益:
(一)基本每股
                                0.61                  -                -                 -
    收益
(二)稀释每股
                                0.61                  -                -                 -
    收益

     (三)合并现金流量表

                                                                                单位:元
     项目              2021 年 1-9 月     2020 年度        2019 年度         2018 年度
一、经营活动产
生的现金流量:
销售商品、提供
                        714,629,016.33   640,363,556.73   218,761,237.12   114,505,989.78
劳务收到的现


                                           1-1-197
拓荆科技股份有限公司                                                              招股说明书



     项目              2021 年 1-9 月        2020 年度        2019 年度         2018 年度
      金

收到的税费返
                          2,200,766.10        2,570,145.66     1,838,195.92    40,011,866.33
      还
收到其他与经
营活动有关的             68,748,599.67      266,527,927.41    52,444,955.35    58,821,309.89
      现金
经营活动现金
                        785,578,382.10      909,461,629.80   273,044,388.39   213,339,166.00
  流入小计
购买商品、接受
劳务支付的现            686,685,832.40      449,693,393.12   197,726,377.65   236,470,097.26
      金
支付给职工以
及为职工支付             91,135,201.95       72,577,425.41    64,185,926.06    59,785,822.15
    的现金
支付的各项税
                         23,491,670.02       16,001,266.45     2,142,907.11      4,148,143.14
      费
支付其他与经
营活动有关的             60,238,425.78       62,276,839.83    30,745,230.35    40,950,269.79
      现金
经营活动现金
                        861,551,130.15      600,548,924.81   294,800,441.17   341,354,332.34
  流出小计
经营活动产生
的现金流量净            -75,972,748.05      308,912,704.99   -21,756,052.78   -128,015,166.34
      额
二、投资活动产
生的现金流量:
收回投资收到
                                        -                -                -                 -
    的现金
取得投资收益
                                        -                -                -                 -
  收到的现金
处置固定资产、
无形资产和其
                              1,150.00         467,983.21                 -          1,896.55
他长期资产收
回的现金净额
收到其他与投
资活动有关的                            -                -                -      2,854,143.82
      现金
投资活动现金
                              1,150.00         467,983.21                 -      2,856,040.37
  流入小计
购建固定资产、
无形资产和其
                        104,273,447.26        6,310,245.86    14,374,680.43      5,565,720.23
他长期资产支
  付的现金
投资支付的现
                                        -                -                -                 -
      金
支付其他与投
资活动有关的                            -                -                -                 -
      现金

                                              1-1-198
拓荆科技股份有限公司                                                              招股说明书



     项目              2021 年 1-9 月        2020 年度        2019 年度         2018 年度
投资活动现金
                        104,273,447.26        6,310,245.86    14,374,680.43      5,565,720.23
  流出小计
投资活动产生
的现金流量净           -104,272,297.26       -5,842,262.65   -14,374,680.43     -2,709,679.86
      额
三、筹资活动产
生的现金流量:
吸收投资收到
                                        -   313,000,002.00   137,499,998.00                 -
    的现金
取得借款收到
                                        -                -    15,320,668.36    33,324,791.81
    的现金
收到其他与筹
资活动有关的                            -                -    12,580,000.00                 -
      现金
筹资活动现金
                                        -   313,000,002.00   165,400,666.36    33,324,791.81
  流入小计
偿还债务支付
                                        -                -    45,584,783.87    76,428,787.18
    的现金
分配股利、利润
或偿付利息支                            -                -      638,090.78       2,183,166.15
  付的现金
其中:子公司支
付给少数股东                                             -                -                 -
的股利、利润
支付其他与筹
资活动有关的              3,595,567.76       10,550,091.27                -                 -
      现金
筹资活动现金
                          3,595,567.76       10,550,091.27    46,222,874.65    78,611,953.33
  流出小计
筹资活动产生
的现金流量净             -3,595,567.76      302,449,910.73   119,177,791.71    -45,287,161.52
      额
四、汇率变动对
现金及现金等                378,682.36       -1,193,818.66                -                 -
  价物的影响
五、现金及现金
等价物净增加           -183,461,930.71      604,326,534.41    83,047,058.50   -176,012,007.72
      额
加:期初现金及
现金等价物余            949,780,236.54      345,453,702.13   262,406,643.63   438,418,651.35
      额
六、期末现金及
现金等价物余            766,318,305.83      949,780,236.54   345,453,702.13   262,406,643.63
      额




                                              1-1-199
拓荆科技股份有限公司                                                               招股说明书



     (四)母公司资产负债表

                                                                                    单位:元
                    2021 年                2020 年             2019 年           2018 年
    项目
                   9 月 30 日            12 月 31 日         12 月 31 日       12 月 31 日
流动资产:

 货币资金          719,310,598.80       948,649,686.56      362,168,782.00     275,452,133.79

 应收票据               3,500,000.00                   -        400,000.00                  -

 应收账款          123,000,449.23        73,822,594.48      131,388,588.66      62,719,948.71

 预付款项              43,080,636.68       3,681,029.76        2,077,991.38      2,782,662.47

其他应收款             58,436,685.59       2,864,502.56        3,069,298.49     14,994,004.70

    存货           973,696,056.95       512,080,385.24      349,976,861.13     322,327,688.24

 合同资产               2,648,200.50       2,648,200.50                    -                -
一年内到期
的非流动资              1,823,580.00        535,590.00                     -                -
    产
其他流动资
                       67,421,255.58     11,038,579.86         7,477,183.17     15,980,448.11
    产
流动资产合
                  1,992,917,463.33     1,555,320,568.96     856,558,704.83     694,256,886.02
    计
非流动资产:

长期应收款                         -                   -                   -        60,000.00
长期股权投
                       57,500,000.00       5,500,000.00                    -                -
    资
 固定资产          157,488,478.62       164,682,844.14      177,830,871.35     191,018,140.79

 在建工程              36,247,186.30

 无形资产              36,763,420.62     38,198,406.02       39,727,298.01      40,846,594.41
递延所得税
                                   -                   -                   -                -
  资产
其他非流动
                        9,498,105.36       2,132,350.00                    -      264,000.00
  资产
非流动资产
                   297,497,190.90       210,513,600.16      217,558,169.36     232,188,735.20
  合计
 资产总计         2,290,414,654.23     1,765,834,169.12    1,074,116,874.19    926,445,621.22

流动负债:

 短期借款                          -                   -                   -    30,156,652.33

 应付票据          120,866,654.75        91,586,184.48       36,229,223.78      29,175,678.80



                                           1-1-200
拓荆科技股份有限公司                                                                  招股说明书


                    2021 年                  2020 年              2019 年            2018 年
    项目
                   9 月 30 日              12 月 31 日          12 月 31 日        12 月 31 日
 应付账款          260,389,983.33          122,425,061.53       82,393,615.66      60,930,817.87

 预收款项                          -                     -      56,002,078.20      46,842,000.00

 合同负债          447,122,775.69          134,257,091.68                     -                  -
应付职工薪
                       15,024,039.53        21,832,003.79       11,081,991.19        6,716,786.32
    酬
 应交税费               2,340,656.33         4,984,034.69         2,198,791.25       1,801,265.25

其他应付款                44,246.50           330,566.11        13,206,424.83         178,757.43
其他流动负
                       57,985,782.05        17,453,421.92                     -                  -
    债
流动负债合
                   903,774,138.18          392,868,364.20      201,112,124.91     175,801,958.00
    计
非流动负债:

长期应付款                         -                     -                    -       350,985.49

 预计负债              30,231,880.67        27,619,567.44       12,504,894.76        3,844,737.99

 递延收益          171,200,624.13          222,523,281.43       46,230,297.49      52,294,434.29
非流动负债
                   201,432,504.80          250,142,848.87       58,735,192.25      56,490,157.77
  合计
 负债合计         1,105,206,642.98         643,011,213.07      259,847,317.16     232,292,115.77

股东权益:

    股本               94,858,997.00        94,858,997.00       78,411,628.50      71,174,787.00

 资本公积         1,001,575,585.12     1,280,812,453.49        977,830,688.99     845,585,030.67

 盈余公积                          -         1,303,267.08         1,303,267.08       1,303,267.08

未分配利润             88,773,429.13      -254,151,761.52     -243,276,027.54     -223,909,579.30
股东权益合
                  1,185,208,011.25     1,122,822,956.05        814,269,557.03     694,153,505.45
    计
负债和股东
                  2,290,414,654.23     1,765,834,169.12       1,074,116,874.19    926,445,621.22
  权益总计

     (五)母公司利润表

                                                                                       单位:元
       项目              2021 年 1-9 月        2020 年度          2019 年度         2018 年度

   一、营业收入          364,537,628.71      437,467,676.17      251,251,546.85    70,644,026.75

   减:营业成本          203,348,749.77      288,932,379.60      171,223,934.80    48,270,366.35

    税金及附加              4,397,427.86       2,183,961.84        2,195,898.70      2,110,727.48


                                              1-1-201
拓荆科技股份有限公司                                                                        招股说明书



       项目              2021 年 1-9 月           2020 年度           2019 年度           2018 年度

     销售费用              44,226,625.03         66,354,085.59       46,976,512.91       40,257,517.58

     管理费用              22,433,663.12         27,750,314.24       21,442,074.96       22,504,724.76

     研发费用             121,923,765.23        120,164,551.48       74,318,715.86      107,973,139.03

     财务费用             -15,028,130.17         -10,356,614.01      -3,314,987.71        -3,163,711.40

   加:其他收益            77,981,665.47         48,915,306.00       43,328,955.34       46,970,517.70
资产减值损失(损失
                            -1,716,740.90         -5,209,649.49        -148,999.15        -2,882,623.31
以“-”号填列)
信用减值损失(损失
                            1,058,405.86            927,505.16         -964,609.54                    -
以“-”号填列)
资产处置收益(损失
                               -12,968.60             -4,771.48                     -         -7,390.19
以“-”号填列)
二、营业利润(亏损
                           60,545,889.70         -12,932,612.38     -19,375,256.02      -103,228,232.85
  以“-”号填列)
 加:营业外收入              1,111,524.30         2,072,507.32           39,404.58             5,347.47

 减:营业外支出                           -          15,628.92           30,596.80                    -
三、利润总额(亏损
  总额以“-”号填          61,657,414.00         -10,875,733.98     -19,366,448.24      -103,222,885.38
        列)
 减:所得税费用                           -                    -                    -                 -
四、净利润(净亏损
                           61,657,414.00         -10,875,733.98     -19,366,448.24      -103,222,885.38
  以“-”号填列)
五、综合收益总额           61,657,414.00         -10,875,733.98     -19,366,448.24      -103,222,885.38


     (六)母公司现金流量表

                                                                                             单位:元
     项目              2021 年 1-9 月           2020 年度           2019 年度            2018 年度
一、经营活动产
生的现金流量:
销售商品、提供
劳务收到的现            712,764,772.79        640,363,556.73       218,761,237.12       114,505,989.78
        金
收到的税费返
                          2,200,766.10          2,570,145.66         1,838,195.92        40,011,866.33
        还
收到其他与经
营活动有关的             68,331,494.66        216,525,331.75        52,444,955.35        58,821,309.89
      现金
经营活动现金
                        783,297,033.55        859,459,034.14       273,044,388.39       213,339,166.00
    流入小计
购买商品、接受
                        681,956,929.67        449,698,720.94       197,726,377.65       236,470,097.26
劳务支付的现


                                                 1-1-202
拓荆科技股份有限公司                                                               招股说明书



     项目              2021 年 1-9 月         2020 年度        2019 年度        2018 年度
      金

支付给职工以
及为职工支付             84,489,040.08       72,153,895.09     64,185,926.06    59,785,822.15
    的现金
支付的各项税
                         22,505,242.49       16,000,516.45      2,142,907.11      4,148,143.14
        费
支付其他与经
营活动有关的             57,463,573.71       62,053,475.89     30,745,230.35    40,950,269.79
      现金
经营活动现金
                        846,414,785.95      599,906,608.37    294,800,441.17   341,354,332.34
    流出小计
经营活动产生
的现金流量净             -63,117,752.40     259,552,425.77    -21,756,052.78   -128,015,166.34
        额
二、投资活动产
生的现金流量:
收回投资收到
                                        -                 -                -                 -
    的现金
取得投资收益
                                        -                 -                -                 -
  收到的现金
处置固定资产、
无形资产和其
                              1,150.00         467,983.21                  -          1,896.55
他长期资产收
回的现金净额
收到其他与投
资活动有关的                  5,860.42                    -                -      2,854,143.82
      现金
投资活动现金
                              7,010.42         467,983.21                  -      2,856,040.37
    流入小计
购建固定资产、
无形资产和其
                         41,256,493.30        6,297,770.73     14,374,680.43      5,565,720.23
他长期资产支
    付的现金
投资支付的现
                         52,000,000.00        5,500,000.00                 -                 -
        金
支付其他与投
资活动有关的             55,000,000.00                    -                -                 -
      现金
投资活动现金
                        148,256,493.30       11,797,770.73     14,374,680.43      5,565,720.23
    流出小计
投资活动产生
的现金流量净           -148,249,482.88      -11,329,787.52    -14,374,680.43     -2,709,679.86
        额
三、筹资活动产
生的现金流量:
吸收投资收到
                                        -   312,500,002.00    137,499,998.00                 -
    的现金


                                               1-1-203
拓荆科技股份有限公司                                                               招股说明书



     项目              2021 年 1-9 月         2020 年度        2019 年度        2018 年度
取得借款收到
                                        -                 -    15,320,668.36    33,324,791.81
    的现金
收到其他与筹
资活动有关的                            -                 -    12,580,000.00                 -
      现金
筹资活动现金
                                        -   312,500,002.00    165,400,666.36    33,324,791.81
    流入小计
偿还债务支付
                                        -                 -    45,584,783.87    76,428,787.18
    的现金
分配股利、利润
或偿付利息支                            -                 -      638,090.78       2,183,166.15
    付的现金
支付其他与筹
资活动有关的              3,120,000.00       10,550,091.27                 -                 -
      现金
筹资活动现金
                          3,120,000.00       10,550,091.27     46,222,874.65    78,611,953.33
    流出小计
筹资活动产生
的现金流量净              -3,120,000.00     301,949,910.73    119,177,791.71    -45,287,161.52
        额
四、汇率变动对
现金及现金等                378,682.36       -1,193,818.66                 -                 -
  价物的影响
五、现金及现金
等价物净增加           -214,108,552.92      548,978,730.32     83,047,058.50   -176,012,007.72
        额
加:期初现金及
现金等价物余            894,432,432.45      345,453,702.13    262,406,643.63   438,418,651.35
        额
六、期末现金及
现金等价物余            680,323,879.53      894,432,432.45    345,453,702.13   262,406,643.63
        额


二、注册会计师审计意见

     (一)审计意见

     根据天健会计师事务所(特殊普通合伙)出具的标准无保留意见的《审计报
告》(天健审[2022]8 号),拓荆科技股份有限公司的财务报表在所有重大方面
按照企业会计准则的规定编制,公允反映了拓荆科技 2018 年 12 月 31 日、2019
年 12 月 31 日、2020 年 12 月 31 日、2021 年 9 月 30 日的合并及母公司财务状况,
以及 2018 年度、2019 年度、2020 年度、2021 年 1-9 月的合并及母公司经营成果
和现金流量。


                                               1-1-204
拓荆科技股份有限公司                                             招股说明书



     (二)关键审计事项

     关键审计事项是发行人会计师根据职业判断,认为对 2018 年度、2019 年度、
2020 年度、2021 年 1-9 月财务报表审计最为重要的事项。这些事项的应对以对
财务报表整体进行审计并形成审计意见为背景,发行人会计师不对这些事项单独
发表意见。

     发行人会计师就上述关键审计事项具体阐述如下:

     1、收入确认

     公司的营业收入主要来自高端半导体薄膜沉积设备的研发、生产、销售和技
术服务业务。报告期内,公司营业收入分别为 7,064.40 万元、25,125.15 万元、
43,562.77 万元和 37,389.57 万元,2019 年度和 2020 年度营业收入较上年同期增
幅分别为 255.66%和 73.38%。

     (1)2018 年度、2019 年度收入确认的具体方法

     公司主要销售高端半导体薄膜沉积设备等专用设备。公司已根据合同约定将
专用设备产品运至约定交货地点,安装调试完毕并经客户确认验收且取得验收单
后,确认收入。专用设备产品经调试验收后,客户具有自行使用产品的权利并承
担该产品可能发生价格波动或毁损的风险。

     公司备品备件等材料按照合同规定运至约定交货地点,由客户确认接收后,
确认收入。备品备件产品交付后,客户具有自行使用产品的权利并承担该产品可
能发生价格波动或毁损的风险。

     (2)2020 年度、2021 年 1-9 月收入确认的具体方法

     公司主要销售高端半导体薄膜沉积设备等专用设备,属于在某一时点履行的
履约义务。公司已根据合同约定将专用设备产品运至约定交货地点,安装调试完
毕并经客户确认验收且取得验收单后,确认收入。专用设备产品经调试验收后,
产品所有权转移给客户,客户具有自行使用产品的权利并承担该产品可能发生价
格波动或毁损的风险。

     公司备品备件等材料按照协议合同规定运至约定交货地点,由客户确认接收


                                  1-1-205
拓荆科技股份有限公司                                                招股说明书



后,确认收入。备品备件产品交付后,产品所有权转移给客户,客户具有自行使
用产品的权利并承担该产品可能发生价格波动或毁损的风险。

     由于营业收入是公司关键业绩指标之一,可能存在管理层通过不恰当的收入
确认以达到特定目标或预期的固有风险,因此发行人会计师将收入确认确定为关
键审计事项。

     2、存货可变现净值

     报告期各期末,公司存货账面余额分别为 33,052.11 万元、35,782.99 万元、
52,381.17 万元和 99,031.84 万元,存货跌价准备分别为 819.34 万元、785.31 万元、
1,173.13 万元和 1,193.01 万元,账面价值分别为 32,232.77 万元、34,997.69 万元、
51,208.04 万元和 97,838.83 万元。

     资产负债表日,存货采用成本与可变现净值孰低计量,按照单个存货成本高
于可变现净值的差额计提存货跌价准备。可变现净值为所生产的产成品的估计售
价减去至完工时估计将要发生的成本、估计的销售费用和相关税费后的金额。

     由于存货金额重大,且确定存货可变现净值涉及重大管理层判断,发行人会
计师将存货可变现净值确定为关键审计事项。

     针对上述关键审计事项,发行人会计师执行了相应的审计程序进行应对。

三、与财务会计信息相关的重大事项的判断标准

     发行人在本节披露的与财务会计信息相关的重大事项或重要性水平的判断
标准为金额超过合并口径净资产的 0.5%,或虽未达到合并口径净资产的 0.5%,
但公司认为较为重要的相关事项。

四、财务报表的编制基础

     公司根据实际发生的交易和事项,按照财政部颁布的《企业会计准则——基
本准则》和具体企业会计准则、企业会计准则应用指南、企业会计准则解释及其
他相关规定(以下合称“企业会计准则”)进行确认和计量,在此基础上,结合
证监会《公开发行证券的公司信息披露编报规则第 15 号——财务报告的一般规


                                    1-1-206
拓荆科技股份有限公司                                               招股说明书



定》(2014 年修订)的规定,编制财务报表。

     本财务报表系在持续经营假设的基础上编制。

五、合并财务报表范围及变化情况

     本报告期纳入合并财务报表范围的主体共 3 户,具体包括:

           子公司名称           子公司类型    级次   持股比例    变更原因
 拓荆键科(海宁)半导体设备                                      2020 年 9 月
                                控股子公司      2      55%
         有限公司                                                30 日新设立
                                                                2020 年 12 月
  拓荆科技(北京)有限公司      全资子公司      2     100%
                                                                 11 日新设立
                                                                2020 年 12 月
  拓荆科技(上海)有限公司      全资子公司      2     100%
                                                                 25 日新设立


六、重要会计政策、会计估计

     (一)遵循企业会计准则的声明

     本财务报表符合企业会计准则的要求,真实、完整地反映了企业的财务状况、
经营成果和现金流量等有关信息。

     (二)记账本位币

     采用人民币为记账本位币。

     (三)应收款项

     1、2019 年度、2020 年度和 2021 年 1-9 月

     ①金融工具减值计量和会计处理

     公司以预期信用损失为基础,对应收款项及合同资产进行减值处理并确认损
失准备。

     对于由《企业会计准则第 14 号——收入》规范的交易形成,且不含重大融
资成分或者公司不考虑不超过一年的合同中的融资成分的应收款项及合同资产,
公司运用简化计量方法,按照相当于整个存续期内的预期信用损失金额计量损失
准备。



                                    1-1-207
拓荆科技股份有限公司                                                             招股说明书



     公司以单项金融工具或金融工具组合为基础评估预期信用风险和计量预期
信用损失。当以金融工具组合为基础时,公司以共同风险特征为依据,将金融工
具划分为不同组合。

     公司在每个资产负债表日重新计量预期信用损失,由此形成的损失准备的增
加或转回金额,作为减值损失或利得计入当期损益。对于应收款项及合同资产,
损失准备抵减该金融资产在资产负债表中列示的账面价值。

     ②按组合评估预期信用风险和计量预期信用损失的金融工具

          项目                确定组合的依据                计量预期信用损失的方法
                                                      参考历史信用损失经验,结合当前状况以
                                                      及对未来经济状况的预测,通过违约风险
其他应收款——账龄组合           账龄性质
                                                      敞口和未来12个月内或整个存续期预期信
                                                          用损失率,计算预期信用损失

     ③按组合计量预期信用损失的应收款项及合同资产

     a、具体组合及计量预期信用损失的方法

          项目                确定组合的依据                计量预期信用损失的方法

   应收银行承兑汇票                              参考历史信用损失经验,结合当前状况以及
                                                 对未来经济状况的预测,通过违约风险敞口
                                 票据类型
   应收商业承兑汇票                              和整个存续期预期信用损失率,计算预期信
                                                                 用损失
                                                 参考历史信用损失经验,结合当前状况以及
                                                 对未来经济状况的预测,编制应收账款账龄
 应收账款——账龄组合              账龄
                                                 与整个存续期预期信用损失率对照表,计算
                                                             预期信用损失
                                                 参考历史信用损失经验,结合当前状况以及
                                                 对未来经济状况的预测,通过违约风险敞口
合同资产——质保金组合           款项性质
                                                 和整个存续期预期信用损失率,计算预期信
                                                                 用损失

     b、应收账款——账龄组合的账龄与整个存续期预期信用损失率对照表

                       账龄                             应收账款预期信用损失率(%)

                 6 个月以内                                          1.00

                 7-12 个月                                           5.00

                   1-2 年                                           15.00

                   2-3 年                                           20.00



                                            1-1-208
拓荆科技股份有限公司                                                              招股说明书



                       账龄                            应收账款预期信用损失率(%)

                   3-4 年                                          30.00

                   4-5 年                                          50.00

                  5 年以上                                         100.00


     2、2018 年度

     (1)单项金额重大并单项计提坏账准备的应收款项

单项金额重大的判断依
                                金额 200 万元以上(含)且占资产总额 10%以上的款项
据或金额标准
单项金额重大并单项计            单独进行减值测试,根据其未来现金流量现值低于其账面价值的
提坏账准备的计提方法            差额计提坏账准备

     (2)按信用风险特征组合计提坏账准备的应收款项

     ①具体组合及坏账准备的计提方法

                         按信用风险特征组合计提坏账准备的计提方法

                  账龄组合                                      账龄分析法


     ②账龄分析法

                              应收商业承兑汇票         应收账款               其他应收款
        账龄
                                计提比例(%)      计提比例(%)            计提比例(%)
     6 个月以内                            1.00                 1.00                    1.00

     7-12 个月                             5.00                 5.00                    5.00

       1-2 年                             15.00                15.00                  15.00

       2-3 年                             20.00                20.00                  20.00

       3-4 年                             30.00                30.00                  30.00

       4-5 年                             50.00                50.00                  50.00

      5 年以上                           100.00               100.00                 100.00


     (3)单项金额不重大但单项计提坏账准备的应收款项

                                   应收款项的未来现金流量现值与以账龄为信用风险特征的应
 单项计提坏账准备的理由
                                   收款项组合的未来现金流量现值存在显著差异
                                   单独进行减值测试,根据其未来现金流量现值低于其账面价
   坏账准备的计提方法
                                   值的差额计提坏账准备

     对应收银行承兑汇票、应收利息、长期应收款等其他应收款项,根据其未来

                                             1-1-209
拓荆科技股份有限公司                                           招股说明书



现金流量现值低于其账面价值的差额计提坏账准备。

     (四)存货

     1、存货的分类

     存货包括在日常活动中持有以备出售的产成品或商品、处在生产过程中的在
产品、在生产过程或提供劳务过程中耗用的材料和物料等。

     2、发出存货的计价方法

     发出存货采用月末一次加权平均法。

     3、存货可变现净值的确定依据及存货跌价准备的计提方法

     资产负债表日,存货采用成本与可变现净值孰低计量,按照单个存货成本高
于可变现净值的差额计提存货跌价准备。直接用于出售的存货,在正常生产经营
过程中以该存货的估计售价减去估计的销售费用和相关税费后的金额确定其可
变现净值;需要经过加工的存货,在正常生产经营过程中以所生产的产成品的估
计售价减去至完工时估计将要发生的成本、估计的销售费用和相关税费后的金额
确定其可变现净值;资产负债表日,同一项存货中一部分有合同价格约定、其他
部分不存在合同价格的,分别确定其可变现净值,并与其对应的成本进行比较,
分别确定存货跌价准备的计提或转回的金额。

     4、存货的盘存制度

     存货的盘存制度为永续盘存制。

     5、低值易耗品和包装物的摊销方法

     低值易耗品和包装物采用一次转销法进行摊销。

     (五)固定资产

     1、固定资产确认条件

     固定资产是指为生产商品、提供劳务、出租或经营管理而持有的,使用年限
超过一个会计年度的有形资产。固定资产在同时满足经济利益很可能流入、成本
能够可靠计量两个条件时予以确认。

                                 1-1-210
拓荆科技股份有限公司                                                            招股说明书



     2、各类固定资产的折旧方法

     类别              折旧方法      折旧年限(年)    残值率(%)      年折旧率(%)

房屋及建筑物       年限平均法              20               5                  4.75

通用设备           年限平均法             3-5               5               31.67-19.00

专用设备           年限平均法             5-10              5               19.00-9.50

运输工具           年限平均法              4                5                  23.75


     (六)无形资产

     1、无形资产的初始计量

     无形资产包括土地使用权、专利权及非专利技术等,按成本进行初始计量。

     2、无形资产的后续计量

     使用寿命有限的无形资产,在使用寿命内按照与该项无形资产有关的经济利
益的预期实现方式系统合理地摊销,无法可靠确定预期实现方式的,采用直线法
摊销。具体年限如下:

            项目                  预计使用寿命(年)                 依据

        土地使用权                        50                    出让合同约定期限

    专利权及非专利技术                    10                      预计受益期

            软件                         5-10                     预计受益期


     (七)预计负债

     因对外提供担保、诉讼事项、产品质量保证、亏损合同等或有事项形成的义
务成为公司承担的现时义务,履行该义务很可能导致经济利益流出公司,且该义
务的金额能够可靠的计量时,公司将该项义务确认为预计负债。

     公司按照履行相关现时义务所需支出的最佳估计数对预计负债进行初始计
量,并在资产负债表日对预计负债的账面价值进行复核。

     (八)股份支付

     公司的股份支付为以权益结算的股份支付。授予后立即可行权的换取职工服
务的以权益结算的股份支付,在授予日按照权益工具的公允价值计入相关成本或

                                          1-1-211
拓荆科技股份有限公司                                           招股说明书



费用,相应调整资本公积。完成等待期内的服务或达到规定业绩条件才可行权的
换取职工服务的以权益结算的股份支付,在等待期内的每个资产负债表日,以对
可行权权益工具数量的最佳估计为基础,按权益工具授予日的公允价值,将当期
取得的服务计入相关成本或费用,相应调整资本公积。

     (九)收入

     1、2020 年度和 2021 年 1-9 月

     (1)收入确认原则

     公司于合同开始日,对合同进行评估,识别合同所包含的各单项履约义务,
并确定各单项履约义务是在某一时段内履行,还是在某一时点履行。公司主要销
售薄膜沉积设备等专用设备,属于在某一时点履行履约义务。

     对于在某一时点履行的履约义务,公司在客户取得相关商品或服务控制权的
时点确认收入。在判断客户是否已取得商品控制权时,公司考虑下列迹象:①公
司就该商品享有现时收款权利,即客户就该商品负有现时付款义务;②公司已将
该商品的法定所有权转移给客户,即客户已拥有该商品的法定所有权;③公司已
将该商品实物转移给客户,即客户已实物占有该商品;④公司已将该商品所有权
上的主要风险和报酬转移给客户,即客户已取得该商品所有权上的主要风险和报
酬;⑤客户已接受该商品;⑥其他表明客户已取得商品控制权的迹象。

     (2)收入计量原则

     ①公司按照分摊至各单项履约义务的交易价格计量收入。交易价格是公司因
向客户转让商品或服务而预期有权收取的对价金额,不包括代第三方收取的款项
以及预期将退还给客户的款项。

     ②合同中包含两项或多项履约义务的,公司于合同开始日,按照各单项履约
义务所承诺商品的单独售价的相对比例,将交易价格分摊至各单项履约义务。

     (3)收入确认的具体方法

     公司已根据合同约定将专用设备产品运至约定交货地点,经安装调试完毕、
客户确认验收并且取得验收单后,确认收入。验收流程主要包括:设备初始设置


                                     1-1-212
拓荆科技股份有限公司                                           招股说明书



和功能性动力连接、基本硬件测试、基本工艺验证测试、批量产品工艺验证测试
(稳定性测试),通常客户在完成以上测试后,对设备进行验收。专用设备产品
经客户调试验收后,产品所有权转移给客户,客户具有自行使用产品的权利并承
担该产品可能发生价格波动或毁损的风险。

     公司备品备件等材料按照协议合同规定运至约定交货地点,由客户确认接收
后,确认收入。备品备件产品交付后,产品所有权转移给客户,客户具有自行使
用产品的权利并承担该产品可能发生价格波动或毁损的风险。

     2、2018 年度和 2019 年度

     (1)收入确认原则

     销售商品收入在同时满足下列条件时予以确认:a、将商品所有权上的主要
风险和报酬转移给购货方;b、公司不再保留通常与所有权相联系的继续管理权,
也不再对已售出的商品实施有效控制;c、收入的金额能够可靠地计量;d、相关
的经济利益很可能流入;e、相关的已发生或将发生的成本能够可靠地计量。

     (2)收入确认的具体方法

     公司主要销售薄膜沉积设备等专用设备,公司已根据合同约定将专用设备产
品运至约定交货地点,经安装调试完毕、客户确认验收并且取得验收单后,确认
收入。验收流程主要包括:设备初始设置和功能性动力连接、基本硬件测试、基
本工艺验证测试、批量产品工艺验证测试(稳定性测试),通常客户在完成以上
测试后,对设备进行验收。专用设备产品经客户调试验收后,客户具有自行使用
产品的权利并承担该产品可能发生价格波动或毁损的风险。

     公司备品备件等材料按照协议合同规定运至约定交货地点,由客户确认接收
后,确认收入。备品备件产品交付后,客户具有自行使用产品的权利并承担该产
品可能发生价格波动或毁损的风险。

     (十)政府补助

     1、政府补助的确认

     政府补助在同时满足下列条件时予以确认:(1)公司能够满足政府补助所


                                 1-1-213
拓荆科技股份有限公司                                              招股说明书



附的条件;(2)公司能够收到政府补助。

     2、与资产相关的政府补助判断依据及会计处理方法

     政府文件规定用于购建或以其他方式形成长期资产的政府补助划分为与资
产相关的政府补助。政府文件不明确的,以取得该补助必须具备的基本条件为基
础进行判断,以购建或其他方式形成长期资产为基本条件的作为与资产相关的政
府补助。与资产相关的政府补助,冲减相关资产的账面价值或确认为递延收益。
与资产相关的政府补助确认为递延收益的,在相关资产使用寿命内按照合理、系
统的方法分期计入损益。按照名义金额计量的政府补助,直接计入当期损益。相
关资产在使用寿命结束前被出售、转让、报废或发生毁损的,将尚未分配的相关
递延收益余额转入资产处置当期的损益。

     3、与收益相关的政府补助判断依据及会计处理方法

     除与资产相关的政府补助之外的政府补助被划分为与收益相关的政府补助。
对于同时包含与资产相关部分和与收益相关部分的政府补助,难以区分与资产相
关或与收益相关的,整体归类为与收益相关的政府补助。与收益相关的政府补助,
用于补偿以后期间的相关成本费用或损失的,确认为递延收益,在确认相关成本
费用或损失的期间,计入当期损益或冲减相关成本;用于补偿已发生的相关成本
费用或损失的,直接计入当期损益或冲减相关成本。

     4、与公司日常经营活动相关的政府补助

     与公司日常经营活动相关的政府补助,按照经济业务实质,计入其他收益或
冲减相关成本费用。与公司日常活动无关的政府补助,计入营业外收支。

     (十一)重要会计政策、会计估计的变更

     1、会计政策变更

     (1)执行新金融工具准则的会计政策变更

     本公司自 2019 年 1 月 1 日起执行财政部修订后的《企业会计准则第 22 号—
—金融工具确认和计量》《企业会计准则第 23 号——金融资产转移》《企业会
计准则第 24 号——套期保值》以及《企业会计准则第 37 号——金融工具列报》


                                  1-1-214
拓荆科技股份有限公司                                                               招股说明书



(以下简称新金融工具准则)。根据相关新旧准则衔接规定,对可比期间信息不
予调整,首次执行日执行新准则与原准则的差异追溯调整 2019 年 1 月 1 日的留
存收益或其他综合收益。

     执行新金融工具准则对公司 2019 年 1 月 1 日财务报表的主要影响如下:

                                                                                    单位:元
                                                   资产负债表
     项目
                  2018 年 12 月 31 日    新金融工具准则调整影响           2019 年 1 月 1 日

货币资金                275,452,133.79                     4,642,800.00        280,094,933.79

其他应收款               14,994,004.70                    -4,642,800.00         10,351,204.70

短期借款                 30,156,652.33                       43,826.43          30,200,478.76

其他应付款                  178,757.43                       -43,826.43            134,931.00


     (2)执行新收入准则的会计政策变更

     本公司自 2020 年 1 月 1 日起执行财政部修订后的《企业会计准则第 14 号——
收入》(以下简称新收入准则)。根据相关新旧准则衔接规定,对可比期间信息
不予调整,首次执行日执行新准则的累积影响数追溯调整 2020 年 1 月 1 日的留
存收益及财务报表其他相关项目金额。

     执行新收入准则对公司 2020 年 1 月 1 日财务报表的主要影响如下:

                                                                                    单位:元
                                                       资产负债表
      项目
                       2019 年 12 月 31 日       新收入准则调整影响       2020 年 1 月 1 日

应收账款                     131,388,588.66              -14,093,432.41        117,295,156.25

合同资产                                     -            13,557,842.41         13,557,842.41

其他非流动资产                               -              535,590.00             535,590.00

预收款项                      56,002,078.20              -56,002,078.20                       -

合同负债                                     -            49,384,832.58         49,384,832.58

其他流动负债                                 -             6,617,245.62          6,617,245.62


     新收入准则实施前后收入确认会计政策不存在重大差异,实施新收入准则在
业务模式、合同条款、收入确认等方面不产生重大影响。

                                             1-1-215
拓荆科技股份有限公司                                                           招股说明书



     若假定自申报财务报表期初开始全面执行新收入准则,对首次执行日前各年
合并财务报表的营业收入、净利润、资产总额和净资产亦不产生影响。

     2、会计估计变更

     本报告期主要会计估计未发生变更。

七、公司的非经常性损益情况

     根据《公开发行证券的公司信息披露解释性公告第 1 号——非经常性损益》
(中国证券监督管理委员会公告[2008]43 号)及相关规定,发行人编制了报告期
非经常性损益明细表及其附注,并经天健会计师事务所《非经常性损益鉴证报告》
(天健审[2022]11 号)核验,发行人报告期内非经常性损益明细如下:

                                                                              单位:万元
                               2021 年
             项目                             2020 年度       2019 年度       2018 年度
                                1-9 月
非流动资产处置损益,包括已计
                                    -1.30           -1.95           -2.06           -0.74
  提资产减值准备的冲销部分
计入当期损益的政府补助(与公
司正常经营业务密切相关,符合
国家政策规定、按照一定标准定    8,184.57        5,096.14        4,326.27         4,691.53
额或定量持续享受的政府补助
            除外)
单独进行减值测试的应收款项
                                   52.49                  -               -               -
        减值准备转回
除上述各项之外的其他营业外
                                    1.15          207.16            2.94             0.53
        收入和支出
其他符合非经常性损益定义的
                                  -64.05         -685.67          -17.17           -20.57
          损益项目
             小计               8,172.86        4,615.68        4,309.98         4,670.76
减:所得税费用(所得税费用减
                                         -                -               -               -
      少以“-”表示)
        少数股东损益               71.28           52.96                  -               -
归属于母公司股东的非经常性
                                8,101.59        4,562.72        4,309.98         4,670.76
        损益净额
 归属于母公司股东的净利润       5,796.38        -1,148.90       -1,936.64      -10,322.29
扣除非经常性损益后归属于母
                                -2,305.21       -5,711.62       -6,246.63      -14,993.05
    公司股东的净利润

     报告期内,公司非经常性损益主要由政府补助形成。报告期内,扣除非经常
性损益后归属于母公司股东的净亏损逐年收窄。


                                    1-1-216
拓荆科技股份有限公司                                                        招股说明书



八、公司纳税情况

     (一)公司适用的主要税种、税率

        税种                           计税依据                      税率         备注
                        以按税法规定计算的销售货物和应税劳
                        务收入为基础计算销项税额,扣除当期
       增值税                                                 17%、16%、13% 注 1
                        允许抵扣的进项税额后,差额部分为应
                                       交增值税
     企业所得税                     应纳税所得额                   25%、15%        注2
  城市维护建设税                实际缴纳的流转税税额                  7%
     教育费附加                 实际缴纳的流转税税额                  3%
  地方教育费附加                实际缴纳的流转税税额                  2%
                        从价计征的,按房产原值一次减除 30%
       房产税           后余值的 1.2%计缴;从租计征的,按租       1.2%、12%
                                  金收入的 12%计缴
注 1:根据财政局、税务总局《关于调整增值税税率的通知》(财税[2018]32 号)的规定,
自 2018 年 5 月 1 日起,纳税人发生增值税应税销售行为,原适用 17%税率的,税率调整为
16%;根据《财政部税务总局海关总署关于深化增值税改革有关政策的公告》(财政部税务
总局海关总署公告 2019 年第 39 号),自 2019 年 4 月 1 日起,纳税人发生增值税应税销售
行为,原适用 16%税率的,税率调整为 13%。
注 2:不同纳税主体所得税税率说明:
       纳税主体名称             2021 年 1-9 月    2020 年度   2019 年度     2018 年度
           本公司                     15%           15%           15%          15%
除上述以外的其他纳税主体              25%           25%         不适用       不适用

     (二)公司所享受的税收优惠情况
     2018 年 7 月 31 日,公司获得辽宁省科学技术厅、辽宁省财政厅、国家税务
总局辽宁省税务局颁发的编号为 GR201821000060 的高新技术企业证书,按税法
规定 2018-2020 年度按 15%的税率计缴企业所得税。
     根据全国高新技术企业认定管理工作领导小组办公室 2022 年 1 月 7 日发布
的《关于对辽宁省 2021 年认定的第二批高新技术企业进行备案的公告》,公司
已 于 2021 年 12 月 14 日通过高新技术企业资格重新认定,证书编号为
GR202121001035。根据国家税务总局 2017 年 24 号《关于实施高新技术企业所
得税优惠政策有关问题的公告》规定,企业的高新技术企业资格期满当年,在通
过重新认定前,其企业所得税暂按 15%的税率预缴,在年底前仍未取得高新技术
企业资格的,应按规定补缴相应期间的税款。公司已于 2021 年内通过高新技术
企业资格重新认定,根据前述规定,公司 2021 年 1-9 月适用 15%税率。

     (三)报告期内税收政策及税收优惠政策对发行人经营成果的影响

     报告期内,公司及子公司适用企业所得税、增值税、城市维护建设税、教育

                                       1-1-217
拓荆科技股份有限公司                                                                招股说明书



费附加、地方教育费附加、房产税、土地使用税等各项税种。其中,企业所得税
和增值税系公司及各子公司适用的主要税种。

     报告期内,公司税收政策及税收优惠政策不存在重大变化。公司适用的税收
政策及税收优惠政策整体较为稳定,相关税收政策及税收优惠政策的变化不会对
公司经营成果产生重大影响。

九、主要财务指标

     (一)公司主要财务指标

                   2021 年 9 月 30 日   2020 年 12 月 31    2019 年 12 月 31   2018 年 12 月 31
   财务指标
                    /2021 年 1-9 月      日/2020 年度        日/2019 年度       日/2018 年度
流动比率(倍)                   2.23              4.09                4.26               3.95
速动比率(倍)                   1.16              2.79                2.52               2.12
  资产负债率
                             48.25%             36.41%              24.19%             25.07%
  (母公司)
  资产负债率
                             49.67%             38.12%              24.19%             25.07%
    (合并)
应收账款周转率
                                3.78                 4.17              2.51               1.22
      (次)
存货周转率(次)                0.27                 0.65              0.50               0.17
息税折旧摊销前
                            5,532.71            -602.35             -594.74           -9,023.10
  利润(万元)
归属于发行人股
  东的净利润                5,796.38          -1,148.90            -1,936.64        -10,322.29
    (万元)
归属于发行人股
东扣除非经常性
                            -2,305.21          -5,711.62           -6,246.63        -14,993.05
损益后的净利润
    (万元)
研发投入占营业
                             34.65%             28.19%              29.58%            152.84%
  收入的比例
每股经营活动产
  生的现金流量                  -0.80                3.26              -0.28              -1.80
    (元/股)
每股净现金流量
                                -1.93                6.37              1.06               -2.47
  (元/股)

归属于母公司股
东的每股净资产                 12.45                11.83             10.38               9.75
  (元/股)

注:上述部分财务指标计算公式如下:
1、息税折旧摊销前利润=利润总额+利息支出-利息收入+固定资产折旧+无形资产摊销+长期
待摊费用摊销


                                          1-1-218
拓荆科技股份有限公司                                                       招股说明书


2、2021 年 1-9 月的相关财务指标未做年化处理

      (二)净资产收益率和每股收益

      根据《公开发行证券的公司信息披露编报规则第 9 号—净资产收益率与每股
收益的计算与披露》(2010 年修订),公司加权平均计算的净资产收益率、基
本每股收益和稀释每股收益如下:

                                                加权平均净     每股收益(元/股)
      时间               报告期利润             资产收益率
                                                  (%)         基本         稀释

                归属于公司普通股股东的净利润           5.03        0.61          0.61
     2021 年
      1-9 月    扣除非经常性损益后归属于公司
                                                      -2.00       -0.24         -0.24
                普通股股东的净利润
                归属于公司普通股股东的净利润          -1.11            /            /
  2020 年度     扣除非经常性损益后归属于公司
                                                      -5.52            /            /
                普通股股东的净利润
                归属于公司普通股股东的净利润          -2.83            /            /
  2019 年度     扣除非经常性损益后归属于公司
                                                      -9.11            /            /
                普通股股东的净利润
                归属于公司普通股股东的净利润         -13.86            /            /
  2018 年度     扣除非经常性损益后归属于公司
                                                      -20.13          /             /
                普通股股东的净利润
注:公司 2021 年 1 月整体变更为股份公司,2018 至 2020 年不适用每股收益指标。


十、对公司未来盈利(经营)能力或财务状况可能产生重要影响的因

素分析

      (一)影响公司未来盈利(经营)能力或财务状况的主要因素及其变化趋
势

      1、产品特点

      公司主要从事高端半导体薄膜沉积设备的研发、生产、销售和技术服务,主
要产品包括 PECVD 设备、ALD 设备和 SACVD 设备,已广泛应用于国内晶圆厂
14nm 及以上制程集成电路制造产线,并已展开 10nm 及以下制程产品验证测试。

      随着全球半导体行业需求的不断变化和制造技术的不断演进,行业对半导体
专用设备制造的技术指标要求也在不断提高。公司未来的盈利能力和财务状况一


                                      1-1-219
拓荆科技股份有限公司                                             招股说明书



定程度上取决于公司半导体薄膜沉积设备制造的技术先进性和产品质量,这对公
司继续保持充足的研发投入、持续进行技术创新、保持并进一步提升产品质量提
出了更高的要求。

     2、业务模式

     公司所属的半导体设备行业属于典型的技术密集型行业,具有较高的技术壁
垒、市场壁垒和客户准入壁垒,这对半导体设备制造企业日常业务模式,包括研
发、采购、销售等方面业务管理能力提出了较高的要求。

     在研发环节,半导体设备企业只有持续加大研发投入并进行技术创新,才能
保持产品质量和市场竞争力。

     在采购环节,半导体设备企业需要根据客户需求和研发需求,合理预计零部
件采购总量、采购进度,并建立完善的供应链体系,保证关键零部件的稳定供应。

     在销售环节,半导体设备企业的产品首先需要通过下游客户的严格验证,才
能建立正式合作关系。在建立合作关系后,还需要满足客户的定制化要求,才能
实现持续、批量的销售。此外,还需做好快速响应、支持等售后服务工作。

     3、行业竞争程度

     公司所属半导体专用设备行业涉及众多学科领域,具有较高的技术研发门
槛,行业龙头企业通过多年的技术积累和规模效应,已经建立了较高的行业壁垒。
全球半导体薄膜沉积设备市场高度集中,目前呈现国外供应商寡头格局。目前,
公司的市场占有率仍然较小,面对半导体专用设备行业龙头形成的高集中度与规
模效应,公司需要通过不断加强自身的技术实力、扩大产销规模,以提升在行业
中的竞争力。

     4、外部市场环境

     目前,半导体设备行业总体呈现增长趋势。根据 SEMI 统计,全球半导体设
备销售额从 2014 年的约 375 亿美元增长至 2020 年的 712 亿美元,年均复合增长
率约为 11.28%。总体上,全球半导体产业保持稳中有升趋势,为公司持续发展
提供了较为有利的产业环境。


                                  1-1-220
拓荆科技股份有限公司                                            招股说明书



     根据 SEMI 报告统计,2020 年中国大陆地区半导体设备销售规模达 187.2 亿
美元,同比增长 39%;首次超过中国台湾地区,成为全球第一大半导体设备市场。
中国大陆半导体行业需求的持续增长,将为国内半导体设备行业带来良好的发展
契机,公司有望从中受益。

     (二)对公司未来盈利(经营)能力或财务状况可能产生的具体影响或风
险

     上述影响因素对公司未来盈利能力或财务状况可能产生的具体影响或风险
如下:

     1、营业收入

     近年来,半导体下游应用市场需求总体保持增长趋势,国家对半导体设备行
业的政策支持力度逐年加大,公司成功把握了良好的市场环境,持续提高自身的
产品质量和市场竞争力。报告期内,公司营业收入高速增长,近三年复合增长率
达到 148.32%。未来,随着行业的发展、公司新产品、新工艺的研发及下游市场
开拓水平的不断深化,公司的业务量有望继续增长。

     2、毛利率

     半导体专用设备定制化程度较高,下游客户对规格型号、产品标准、技术参
数等方面的要求较高,属于典型的高、精、尖装备,行业具有较高的技术壁垒、
市场壁垒和客户认知壁垒,上述产品和行业特性使得公司能够具有相对稳定的毛
利率。

     报告期内,公司主营业务毛利率分别为 33.00%、31.99%、34.12%、45.55%,
总体呈增长趋势。未来,随着公司技术水平的提升、产品结构的优化、先进工艺
机台的推出、成本控制及议价能力的增强,公司有望进一步提升市场地位,保持
乃至提高产品毛利率水平。

     3、研发投入

     半导体设备行业技术发展十分迅速,市场需求日新月异。公司自成立以来,
一贯坚持自主研发和创新,保持较高的研发投入。经过多年积累,公司研发了大


                                  1-1-221
拓荆科技股份有限公司                                                      招股说明书



量具有自主知识产权的核心技术,并应用于薄膜沉积设备,获得了客户的认可,
已经具有一定的市场影响力。报告期内,公司研发投入占营业收入的比重分别为
152.84%、29.58%、28.19%和 34.65%。目前,公司的市场占有率仍然较小,为进
一步提高市场竞争力,公司将持续重视研发,研发投入占营业收入的比重仍将保
持在相对较高的水平。

十一、经营成果分析

       (一)报告期内取得经营成果的逻辑

       1、报告期内经营情况概览

     报告期内,公司整体实力和盈利能力持续增强,主要经营情况如下:

                                                                        单位:万元
         项目          2021 年 1-9 月     2020 年度      2019 年度      2018 年度

       营业收入             37,389.57        43,562.77     25,125.15        7,064.40

       营业毛利             17,118.56        14,837.29      8,002.76        2,237.37

       营业利润              5,627.12        -1,375.68      -1,937.53     -10,322.82

       利润总额              5,738.27        -1,169.99      -1,936.64     -10,322.29
归属于母公司股东的
                             5,796.38        -1,148.90      -1,936.64     -10,322.29
      净利润
扣除非经常性损益后
归属于母公司股东的          -2,305.21        -5,711.62      -6,246.63     -14,993.05
      净利润

     报告期内,公司经营业绩逐年增长,2020 年较 2019 年增长 73.38%,2019
年较 2018 年增长 255.66%;2018-2020 年度复合增长率为 148.32%,实现高速增
长。

     报告期内,公司扣除非经常性损益后亏损逐年收窄。

       2、报告期内经营成果逻辑分析

     (1)营业收入高速增长

     ①半导体设备行业需求增长,为公司业绩增长提供了良好的外部大环境

     根据 SEMI 统计,全球半导体设备销售额从 2014 年至 2020 年年均复合增长

                                        1-1-222
拓荆科技股份有限公司                                            招股说明书



率约为 11.28%;2020 年中国大陆地区半导体设备销售规模达 187.2 亿美元,同
比增长 39%。全球和中国半导体设备行业需求增长,为公司持续发展和业绩增长
提供了较为有利的产业环境。

     ②高质量的产品、领先的技术,是公司获得客户认可的基础

     报告期内,公司主要研发销售的 PECVD 设备,通过对反应腔流场、温度场
和等离子场的仿真和设计、对射频系统回路及精度的优化、对高产能、低颗粒晶
圆传动系统的设计,在满足工艺需求的同时能够有效降低客户的综合使用成本。

     报告期内,公司持续大量的研发投入加快了公司产品升级创新的速度,加强
了公司新产品、新工艺的研发和开发的能力,保证了公司能够可持续地向半导体
行业和客户提供具有竞争力的产品。

     ③公司通过不断推出新产品,进入新市场,进一步提升营业收入

     报告期内,公司已实现 ACHM、LokⅠ等先进膜工艺 PECVD、ALD、SACVD
等多项新产品和新工艺的客户端验证。公司不断进入新市场,引入新客户,实现
了营业收入的高速增长。

     ④公司不断扩大专业团队规模、引入高端人才,为维持和提高产品质量及推
出新产品、新工艺提供重要保障

     公司全员持股的激励制度,吸引了大量具有丰富经验的国内外半导体设备行
业专家加入公司。报告期内,公司在高层新引进多位年富力强的技术专家加入公
司团队,强化专家团队的技术能力、领导力和执行力。同时,公司大胆提拔国内
中层干部,并在基层增补新鲜血液,为公司发展储备人才。

     (2)扣除非经常性损益后亏损逐年收窄

     报告期内,公司扣除非经常性损益后尚未实现盈利,研发费用金额较高和占
营业收入的比例较大,是公司亏损的主要原因。与此同时,公司持续不断的研发
投入,使得公司产品日益成熟,客户认可度逐年提高,公司营业收入高速增长。
2018 至 2020 年研发费用占营业收入的比例逐年降低,公司的亏损也逐年收窄,
盈利能力逐步改善。


                                 1-1-223
        拓荆科技股份有限公司                                                                          招股说明书



                 (二)营业收入分析

                 1、营业收入的构成情况

                                                                                                    单位:万元
                      2021 年 1-9 月            2020 年度               2019 年度               2018 年度
          类别
                     金额          占比       金额        占比        金额          占比      金额        占比
          主营
          业务      36,399.05      97.35%   42,876.27    98.42%     24,772.45    98.60%      6,629.86    93.85%
          收入
          其他
          业务           990.52     2.65%     686.50      1.58%       352.70        1.40%     434.55      6.15%
          收入
          合计      37,389.57       100%    43,562.77      100%     25,125.15       100%     7,064.40     100%


                 报告期内,公司主营业务稳定,由于公司其他业务收入占比较低,以下分析
        中只对主营业务收入进行区分、列示及相应分析。

                 2、主营业务收入按产品分析

                 报告期内,公司主营业务收入按产品构成情况如下:

                                                                                                    单位:万元
                   2021 年 1-9 月                2020 年度                       2019 年度                 2018 年度
 类别
                  金额            占比        金额           占比            金额            占比        金额       占比
PECVD
                 32,283.16        88.69%     41,824.53       97.55%          24,772.45        100%      5,170.28   77.98%
  设备
其中:
                 20,692.42        56.85%     32,117.87       74.91%          14,873.60       60.04%     4,189.28   63.18%
PF-300T
其中:
                 11,590.74        31.84%      9,706.66       22.64%           9,898.85       39.96%      981.00    14.80%
PF-200T
 ALD
                      0.00         0.00%        184.48        0.43%               0.00        0.00%     1,459.58   22.02%
  设备
SACVD
                  4,115.89        11.31%        867.26        2.02%               0.00        0.00%         0.00   0.00%
  设备
 合计            36,399.05         100%      42,876.27        100%           24,772.45        100%      6,629.86    100%


                 报告期内,公司主营业务收入来自于 PECVD 设备、ALD 设备和 SACVD 设
        备销售收入,其中 PECVD 设备的销售收入为公司主营业务收入的最主要来源。
        公司主营业务收入快速增长,主要是由于 PECVD 产品收入高速增长。




                                                         1-1-224
拓荆科技股份有限公司                                                   招股说明书



     3、主要产品销量及销售价格分析

     报告期内,公司主要产品为PECVD设备,已实现产业化应用并实现销售的
产品包括12英寸PECVD设备(PF-300T)和8英寸PECVD设备(PF-200T)。PF-300T
设备主要应用于28nm以上逻辑芯片及FLASH、DRAM存储芯片制造,PF-200T
设备主要应用于90nm以上集成电路前道工艺及3D TSV先进封装环节。

     公司的薄膜沉积设备由1个平台(TM)和多个反应腔(PM)组成,PM的数
量通常为1-3个,通常PM数量越多,设备的售价越高。报告期内,公司PF-300T
和PF-200T的产量、销量和价格情况如下:
                              2021 年
             项目                            2020 年度    2019 年度    2018 年度
                               1-9 月
                                  PF-300T

              数量(TM+PM)             46           86           41           12
销售数量
                  变动比例               /     109.76%      241.67%
                均价(万元
                                 449.84          373.46       362.77       349.11
销售单价        /TM+PM)
                  变动比例       20.45%          2.95%        3.91%

               金额(万元)    20,692.42      32,117.87    14,873.60     4,189.28
销售收入
                  变动比例               /     115.94%      255.04%

                                  PF-200T

              数量(TM+PM)             39           28           30               4
销售数量
                  变动比例               /       -6.67%     650.00%
                均价(万元
                                 297.20          346.67       329.96       245.25
销售单价        /TM+PM)
                  变动比例      -14.27%          5.06%       34.54%

               金额(万元)    11,590.74       9,706.66     9,898.85       981.00
销售收入
                  变动比例               /       -1.94%     909.06%
注:数量(TM+PM)指设备的平台数量加反应腔数量。

     报告期内,公司PF-200T和PF-300T产品销量总体呈增长趋势。

     报告期内,公司PF-300T和PF-200T产品的销售单价总体呈增长趋势,主要
缘于公司议价能力随着公司技术水平、市场地位提升的逐步增强。


                                   1-1-225
拓荆科技股份有限公司                                             招股说明书



     2021年1-9月,公司PF-300T单价较2020年度上升20.45%,系公司2021年1-9
月销售的机台中包括LokⅠ先进工艺机台和PF-300T ex先进制程机台,提高了平
均单价。

     2019年度,公司PF-200T平均销售单价较2018年度上升34.54%,主要原因系
2018年,公司仅销售1台PF-200T设备,是公司销售给相应客户的首台PF-200T设
备,在价格上给予了优惠。2021年1-9月,与前期配置相同的设备,售价保持稳定;
平均销售单价较2020年度降低14.27%,主要因为随着PF-200T销量增长,设备配
置呈现多样化,对应的价格调整使得平均价格有所降低。

     综上所述,公司 PECVD 销售收入大幅上升原因,主要系销售数量上升。销
售数量上升的原因为:

     (1)全球半导体行业处于需求增长的上行周期,下游晶圆厂的需求增加,
对半导体专用设备的需求也随之上升。

     (2)随着我国半导体产业的逐步成熟,国内晶圆制造厂商在采购半导体专
用设备时,开始注重供应链安全和成本控制。因此,产品性价比高、能满足特定
产品个性化需求并能提供及时、快速售后服务的国产半导体设备成为国内各大半
导体制造商的重要战略选择,给公司扩大销售规模提供了良好的条件。

     (3)凭借着自身技术优势和创新能力,公司的薄膜沉积设备在前期通过了
多家客户的验证。

     (4)报告期内,国内下游晶圆厂进行了扩产。2019 年以来,华虹半导体(无
锡)项目、广州粤芯半导体项目、长鑫存储 DRAM 项目正式投产。2020 年以来,
长江存储、广州粤芯、上海积塔、中芯南方、士兰微(厦门)、广东海芯项目等
产线也取得新进展。国内下游晶圆厂的扩产给公司设备销量的增长提供了良好契
机。

       4、主营业务收入按地区构成分析

     报告期内,公司主营业务收入按地区构成情况如下:




                                  1-1-226
拓荆科技股份有限公司                                                                招股说明书


                                                                                  单位:万元

销售       2021 年 1-9 月           2020 年度              2019 年度           2018 年度
区域       金额        占比       金额        占比       金额      占比      金额       占比
华北
         14,684.94     40.34%   18,904.97    44.09%    10,810.00   43.64%    981.00    14.80%
地区
华东
          8,466.92     23.26%   12,611.71    29.41%     9,761.87   39.41%   1,459.58   22.02%
地区
华中
          7,216.18     19.83%    8,218.48    19.17%     1,373.15    5.54%   1,494.84   22.55%
地区
华南
          6,031.00     16.57%    3,141.11     7.33%         0.00    0.00%       0.00    0.00%
地区
西北
              0.00     0.00%         0.00     0.00%     1,500.00    6.06%       0.00    0.00%
地区
西南
              0.00     0.00%         0.00     0.00%     1,327.43    5.36%   2,694.44   40.64%
地区
合计     36,399.05      100%    42,876.27     100%     24,772.45    100%    6,629.86    100%
注:按照终端客户所在地划分。

       报告期内,公司的终端客户均在中国大陆境内,与国际寡头进行直接竞争。
华北、华东地区由于京津冀和长三角地区半导体行业发达,成为公司主要的产品
销售目的地。

       5、主营业务收入按销售模式分析

       报告期内,公司主营业务收入按销售模式分类情况如下:

                                                                                  单位:万元
           2021 年 1-9 月            2020 年度             2019 年度           2018 年度
类别
           金额         占比       金额        占比      金额       占比     金额       占比

直销      36,399.05      100%   41,429.27    96.63%    19,015.30   76.76% 6,629.86      100%

经销           0.00     0.00%     1,447.00     3.37%    5,757.15   23.24%      0.00     0.00%

合计      36,399.05     100%    42,876.27      100%    24,772.45    100%    6,629.86    100%


       报告期内,公司销售模式以直销为主,少量销售通过经销模式实现。公司报
告期内通过经销模式实现的销售,其合约签订时间均在 2017 年;于 2019 年度和
2020 年度分别实现 5,757.15 万元和 1,447.00 万元的销售收入,占当年主营业务
收入的 23.24%和 3.37%。截至报告期末,公司经销模式下的产品均已获得终端
客户验收。报告期内,公司未与经销商签订过新的渠道销售合同。公司不断提升
直接服务终端客户的能力,在原通过经销模式间接销售的四家终端客户中,已对

                                             1-1-227
拓荆科技股份有限公司                                                                  招股说明书



其中两家实现了直销。2021 年 1 至 9 月,公司的销售已全部通过直销模式实现。

     公司经销模式下确认收入的时点与直销模式一致,为终端客户对设备完成验
收的时点,不存在通过经销模式提前或者延后确认收入的现象。

     6、主营业务收入季节分析

                                                                                    单位:万元
            2021 年 1-9 月           2020 年度               2019 年度           2018 年度
 季度
            金额        占比       金额        占比        金额      占比      金额       占比

一季度    5,294.30     14.55%     1,444.83     3.37%          0.00   0.00%       0.00     0.00%

二季度    5,195.10     14.27%      740.00      1.73%          0.00   0.00%       0.00     0.00%

三季度   25,909.65     71.18%    13,693.74    31.94%      3,428.00   13.84%   4,154.02   62.66%

四季度        /          /       26,997.70    62.97%     21,344.45   86.16%   2,475.84   37.34%

 合计    36,399.05      100%     42,876.27     100%      24,772.45    100%    6,629.86    100%


     公司下游客户,通常于年初作出全年的资本性支出计划,此后开展采购、安
装、调试、验收,导致公司大部分设备取得客户验收、确认收入的时点相对集中
于下半年,尤其集中于第四季度,此类情况与同行业可比公司相似。

     国内同行业可比公司经营业绩季节性波动情况如下:

    可比公司             项目             2020 年度           2019 年度           2018 年度

                        一季度                 18.15%                19.33%               3.67%

                        二季度                 24.89%                21.82%              24.73%

    中微公司            三季度                 21.90%                21.39%              31.14%

                        四季度                 35.06%                37.47%              40.46%

                         合计                    100%                 100%                100%

                        一季度                   2.59%                4.83%               8.04%

                        二季度                 16.40%                26.61%              14.87%

    芯 源 微            三季度                 45.45%                13.46%              26.18%

                        四季度                 35.57%                55.10%              50.91%

                         合计                    100%                 100%                100%

    盛美上海            一季度                 13.79%                13.02%               6.90%


                                             1-1-228
拓荆科技股份有限公司                                             招股说明书



    可比公司           项目     2020 年度      2019 年度      2018 年度

                       二季度        21.52%         26.38%          26.06%

                       三季度        27.03%         36.92%          21.47%

                       四季度        37.66%         23.67%          45.57%

                       合计            100%           100%           100%

                       一季度          7.08%          0.34%          0.71%

                       二季度          8.55%        16.36%          35.76%

    华海清科           三季度        23.13%         31.02%           0.59%

                       四季度        61.24%         52.27%          62.94%

                       合计            100%           100%           100%

                       一季度        10.40%           9.38%          4.83%

                       二季度        17.84%         22.79%          25.35%

     平均值            三季度        29.38%         25.70%          19.84%

                       四季度        42.38%         42.13%          49.97%

                       合计            100%           100%           100%


     由上表可知,同行业可比公司的收入均存在下半年或第四季度收入占比较大
的情况,但公司收入的季节性更为明显,主要原因是发行人报告期内销售机台总
数量较少。随着公司销售客户群体的扩大和销售机台数量的增加,公司收入在第
四季度较为集中的特点已有所改善。2020 年度,公司第四季度收入占比与同行
业的华海清科相近。

     7、主要客户销售情况分析

     公司主要客户的销售情况,具体参见本招股说明书“第六节 业务与技术”
之“三、发行人的销售情况和主要客户”之“(二)报告期内主要客户”。

     (三)营业成本分析

     1、营业成本构成分析

     报告期内,公司营业成本构成如下表所示:




                                   1-1-229
  拓荆科技股份有限公司                                                                   招股说明书


                                                                                       单位:万元
               2021 年 1-9 月            2020 年度               2019 年度            2018 年度
  类别
               金额        占比       金额        占比         金额       占比      金额       占比
 主营业
             19,818.68    97.77%    28,249.02     98.34%     16,846.58   98.39%    4,442.10   92.03%
 务成本
 其他业
                452.32    2.23%       476.45        1.66%      275.81     1.61%     384.94    7.97%
 务成本
  合计       20,271.00     100%     28,725.47   100.00%      17,122.39     100%    4,827.04    100%


          报告期内,公司营业成本主要由主营业务成本构成,其他业务成本占比极低。
  以下分析中只对主营业务成本进行分类、列示及相应分析。

          2、主营业务成本按产品构成分析

          报告期内,公司主营业务成本按照产品分类情况如下:

                                                                                       单位:万元
              2021 年 1-9 月            2020 年度                2019 年度             2018 年度
 类别
             金额        占比        金额        占比         金额        占比       金额      占比
PECVD
           18,301.32     92.34%    26,979.73     95.51%     16,846.58    100.00%   3,658.11    82.35%
  设备
其中:
           11,482.17     57.94%    20,789.74     73.59%     10,029.46     59.53%   2,797.75    62.98%
PF-300T
其中:
            6,819.15     34.41%     6,189.99     21.91%      6,817.13     40.47%     860.35    19.37%
PF-200T
 ALD
                0.00     0.00%        23.83       0.08%          0.00      0.00%     783.99    17.65%
  设备
SACVD
            1,517.36     7.66%      1,245.46      4.41%          0.00      0.00%       0.00     0.00%
  设备
 合计      19,818.68   100.00%     28,249.02    100.00%     16,846.58    100.00%   4,442.10   100.00%


          报告期内,公司主营业务成本主要为 PECVD 设备的成本,与 PECVD 设备
  主营业务收入的占比相匹配。

          3、主营业务成本料工费构成情况

          报告期内,公司主营业务成本的直接材料、直接人工、制造费用的构成情况
  如下:




                                                1-1-230
     拓荆科技股份有限公司                                                                      招股说明书


                                                                                             单位:万元
                        2021 年 1-9 月             2020 年度           2019 年度             2018 年度
         类别
                        金额       占比       金额         占比      金额       占比       金额        占比

     直接材料       18,723.81     94.48%    25,531.29    90.38%    15,290.75   90.76%   3,939.76      88.69%

     直接人工           232.32     1.17%       603.01      2.13%     321.78    1.91%       160.60      3.62%
     制造费用
                        862.55     4.35%     2,114.72      7.49%    1,234.06   7.33%       341.73      7.69%
       及其他
         合计       19,818.68      100%     28,249.02      100%    16,846.58    100%    4,442.10       100%


            如上表所示,直接材料是公司主营业务成本的主要部分,报告期内占比分别
     为 88.69%、90.76%、90.38%和 94.48%。随着公司业务规模的扩大,2018 年至
     2020 年度,各类成本逐年增长。报告期内公司主要原材料采购情况参见本招股
     说明书“第六节 业务与技术”之“四、发行人的采购情况和主要供应商”。

            报告期内,公司主营业务成本中直接人工主要由生产人员工资薪酬构成,制
     造费用主要由间接材料、固定资产折旧及水电费构成。报告期内,公司料、工、
     费各类成本占比总体稳定,直接材料占比保持在 90%左右。

            (四)毛利、毛利率及变动分析

            1、毛利构成及变化情况分析

            报告期内,公司主营业务毛利按产品类型分布情况如下:

                                                                                             单位:万元
                  2021 年 1-9 月               2020 年度                2019 年度                   2018 年度
  类别
                 毛利           占比        毛利         占比        毛利        占比        毛利             占比
PECVD 设
                13,981.84       84.33%    14,844.80     101.49%     7,925.87    100.00%      1,512.17         69.12%
   备
 其中:
                 9,210.26       55.55%    11,328.13      77.45%     4,844.15     61.12%      1,391.53         63.61%
 PF-300T
 其中:
                 4,771.58       28.78%     3,516.67      24.04%     3,081.72     38.88%       120.65           5.51%
 PF-200T
  ALD
                     0.00        0.00%      160.66        1.10%         0.00       0.00%      675.59          30.88%
   设备
SACVD 设
                 2,598.52       15.67%      -378.21      -2.59%         0.00       0.00%          0.00         0.00%
   备
  合计          16,580.36      100.00%    14,627.25     100.00%     7,925.87   100.00%       2,187.76     100.00%


            报告期内,公司的主营业务毛利分别为 2,187.76 万元、7,925.87 万元、

                                                       1-1-231
     拓荆科技股份有限公司                                                       招股说明书



     14,627.25 万元和 16,580.36 万元。2019 年度主营业务毛利增长 262.28%,2020
     年度主营业务毛利增长 84.55%,与收入增长的趋势相匹配。

          PECVD 设备的销售毛利一直是公司主营业务毛利的主要来源。报告期内,
     PECVD 设备的毛利,占比分别为 69.12%、100%、101.49%和 84.33%。

          2、毛利率构成及变化情况分析

          (1)毛利率按产品分析

          报告期内,公司各类产品主营业务平均毛利率情况如下:

              2021 年 1-9 月            2020 年度            2019 年度            2018 年度
 产品
            毛利率     收入占比      毛利率    收入占比   毛利率   收入占比   毛利率    收入占比
PECVD
             43.31%         88.69%   35.49%     97.55%    31.99%   100.00%    29.25%         77.98%
  设备
其中:
             44.51%         56.85%   35.27%     74.91%    32.57%    60.04%    33.22%         63.18%
PF-300T
其中:
             41.17%         31.84%   36.23%     22.64%    31.13%    39.96%    12.30%         14.80%
PF-200T
 ALD
              0.00%         0.00%    87.09%       0.43%    0.00%     0.00%    46.29%         22.02%
  设备
SACVD
             63.13%         11.31%   -43.61%      2.02%    0.00%     0.00%     0.00%         0.00%
  设备
 合计       45.55%     100.00%       34.12%    100.00%    31.99%   100.00%    33.00%     100.00%


          2021 年 1-9 月,公司主营业务毛利率较高,主要原因系①随着公司技术水平、
     市场地位的提升,公司的议价能力有所提高,平均单价有所上升;②随着公司产
     品工艺和制程的演进,公司的产品开始进入先进制程设备市场,先进制程设备的
     市场价格较高,进而提高了毛利率;③随着销售规模的增长,公司的规模经济效
     应开始显现,平均成本有所降低。

          公司各类产品的毛利率波动具体分析如下:

          ①PECVD 设备毛利率分析

          报告期内,公司PECVD产品的各项细分产品的平均单价、单位成本及毛利
     率分析如下:




                                               1-1-232
拓荆科技股份有限公司                                                     招股说明书



              项目             2021 年 1-9 月   2020 年度    2019 年度   2018 年度

                                    PF-300T
             平均单价(万元/
价格变                                 449.84       373.46      362.77      349.11
             (TM+PM))
动因素
               价格变动幅度           20.45%        2.95%       3.91%
             单位成本(万元/
成本变                                 249.61       241.74      244.62      233.15
             (TM+PM))
动因素
               成本变动幅度            3.26%       -1.18%       4.92%

             毛利率                   44.51%       35.27%      32.57%       33.22%

 单位价格变动对毛利率的影响           10.99%        1.93%       2.52%

 单位成本变动对毛利率的影响           -1.75%        0.77%       -3.16%

          毛利率变动                   9.24%        2.70%       -0.64%

                                    PF-200T
             平均单价(万元/
价格变                                 297.20       346.67      329.96      245.25
             (TM+PM))
动因素
               价格变动幅度          -14.27%        5.06%      34.54%
             单位成本(万元/
成本变                                 174.85       221.07      227.24      215.09
             (TM+PM))
动因素
               成本变动幅度          -20.91%       -2.71%       5.65%

             毛利率                   41.17%       36.23%      31.13%       12.30%

 单位价格变动对毛利率的影响          -10.61%        3.32%      22.52%

 单位成本变动对毛利率的影响           15.55%        1.78%       -3.68%

          毛利率变动                   4.94%        5.10%      18.84%

   与 PF-300T 毛利率的差异            -3.34%        0.96%       -1.44%     -20.92%
注 1:单位售价变动对毛利率的影响=单位售价变动额/当年单位售价×(上年单位成本/上年
单位售价)
注 2:单位成本变动对毛利率的影响=单位成本变动额/当年单位成本×(本年单位成本/本年
单位售价)
注 3:毛利率变动=本年毛利率-上年毛利率

     公司的产品主要根据客户的差异化需求进行定制化生产,不同客户对零部件
的性能和型号,以及是否需要公司提供附属设备等额外模块的需求存在差异,导
致公司产品的售价和成本存在波动。

     2019年度,公司PF-300T毛利率与2018年度基本持平。


                                     1-1-233
拓荆科技股份有限公司                                                            招股说明书



     2020年度,公司PF-300T毛利率较2019年度增加2.70%。其中受平均单价的
影响为1.93%,受平均单位成本的影响为0.77%。2020年度,公司PF-300T平均单
价较2019年度增幅为2.95%,系因2020年度销售的PF-300T设备中,包括了ADCⅡ、
LOkⅡ、ACHM等新工艺,提高了2020年度总体均价。而单位成本方面,随着公
司原材料采购价格的下降,公司单位成本也随之下降。

     2021年1-9月,公司PF-300T毛利率较2020年度上升9.24%,主要受平均单价
上升的影响。随着公司技术水平、市场地位的提升,公司的议价能力逐渐提高。
此外,2021年1-9月,公司销售的PF-300T设备中包括部分先进制程的设备,市场
价格较高, 进而提高了毛利率。

     2019年度,公司PF-200T毛利率较2018年度上升18.84%,主要由平均单价的
波 动 导 致 。 2018 年 度 公 司 PF-200T 产 品 售 价 为 公 司 销 售 给 相 应 客 户 的 首 台
PF-200T设备,在价格上存在优惠。2019年度,公司PF-200T平均单价恢复为正
常水准。

     2020年度,公司PF-200T毛利率较2019年度增加5.10%,其中受平均单价的
影响为3.32%,受平均单位成本的影响为1.78%。2020年度,公司PF-200T平均单
价较2019年度增幅为5.06%,系因2020年度销售的PF-200T设备中包括了ACHM
等新工艺,提高了2020年度总体均价。而单位成本方面,随着公司原材料采购价
格的下降,公司单位成本也随之下降。

     2021年1-9月,公司PF-200T毛利率较2020年度上升4.94%,其中受平均单价
的影响为-10.61%,受平均单位成本的影响为15.55%。2021年1-9月,随着PF-200T
销量的增加及公司生产管理流程的优化,公司的生产成本也随之降低,因此提高
了毛利率。

     报告期内,除 2018 年度外,其余各期公司 PF-300T 和 PF-200T 的毛利率无
重大差异。2018 年度,公司 PF-200T 毛利率相比 PF-300T 毛利率较低且差距相
对较大,系 2018 年度公司 PF-200T 的售价为首台优惠售价。

     ②ALD 设备毛利率分析

     2018 年度和 2020 年度,公司 ALD 设备毛利率分别为 46.23%和 87.09%。报


                                         1-1-234
拓荆科技股份有限公司                                                          招股说明书



告期内,公司完成销售的 ALD 设备,对应客户均为 ICRD。毛利率上升的主要
原因为,2020 年度实现的 ALD 销售收入是对 2018 年所销售设备的反应腔的升
级,成本金额较小,因此毛利率较高。

       ③SACVD 设备毛利率分析

       2020 年度和 2021 年 1-9 月,公司 SACVD 设备毛利率分别为-43.61%和
63.13%。

       2020 年度,公司向北京燕东微电子科技有限公司销售了公司自成立以来的
第一台 SACVD 设备,公司于 2019 年 9 月向其发出,在 2020 年度的最终商务谈
判时接受了较低的报价,形成了亏损合同。该亏损合同属于偶然现象。

       2021 年 1-9 月,公司完成第二台 SACVD 设备的销售,该设备可用于 2.5D
封装、3D 先进封装领域,因此毛利率较高。

       (2)毛利率按销售模式分析

       报告期内,公司各销售模式的主营业务平均毛利率情况如下:

          2021 年 1-9 月          2020 年度           2019 年度         2018 年度
销售
模式                   收入              收入                收入                收入
         毛利率                毛利率              毛利率            毛利率
                       占比              占比                占比                占比
直销     45.55%   100.00%      34.48%   96.63%     31.90%   76.76%   33.00%    100.00%

经销      0.00%        0.00%   23.72%    3.37%     32.30%   23.24%    0.00%       0.00%

合计     45.55%   100.00%      34.12% 100.00%      31.99% 100.00%    33.00%    100.00%


       报告期内,公司直销和经销模式下的毛利率无重大差异。2019 年度,公司
直销和经销模式的毛利率几乎持平。2020 年度,公司经销模式收入占比较低,
其毛利率低于直销模式。原因是 2020 年公司通过经销模式销售的设备于 2017
年 9 月便开始投产,投产时间较早,与该设备在同一时段投产的设备较少。因此,
该设备分摊了较多的制造费用,提高了成本,降低了毛利率。

       3、与同行业可比公司的比较

       报告期内,公司与可比公司的毛利率对比情况如下:



                                         1-1-235
     拓荆科技股份有限公司                                                               招股说明书



           公司名称           2021 年 1-9 月       2020 年度           2019 年度      2018 年度

           中微公司                  42.68%                37.67%          34.93%          35.50%

           芯 源 微                  39.59%                41.95%          46.21%          46.27%

           盛美上海                  未披露                42.65%          44.67%          43.80%

           华海清科                  未披露                38.17%          31.27%          25.27%

            平均值                   41.13%                40.11%          39.27%          37.71%

             公司                    45.55%            34.12%              31.99%          33.00%
     数据来源:可比公司招股说明书、定期报告。
     注:上表中,2018-2020 年可比公司数据为主营业务毛利率,2021 年 1-9 月为营业毛利率

           公司是国内唯一一家产业化应用的集成电路 PECVD 设备厂商。国外生产销
     售薄膜沉积设备或 PECVD 设备的应用材料(AMAT)、先晶半导体(ASMI)、
     泛林半导体(Lam)等公司,均销售多种专业设备,并未单独披露薄膜沉积设备
     或 PECVD 设备的相关财务数据。而国内半导体专用设备行业的 A 股上市公司中,
     尚没有生产销售集成电路用 PECVD 设备的企业。因此,公司与所选取的同行业
     可比公司存在细分领域的毛利率差异。

           报告期内,公司的主营业务毛利率水平总体呈上升趋势。2018-2020 年,公
     司处于收入增长期,规模效应尚不明显;且在开拓新业务时,部分商务谈判中接
     受了优惠的报价。因此,2018-2020 年,公司毛利率水平相比同行业可比公司平
     均水平较低。随着公司技术水平和市场地位的提升,公司的议价能力、产品先进
     性逐渐提高,公司的规模效应逐渐显现,2021 年 1-9 月,公司的毛利率高于同行
     业可比公司平均水平。

           (五)期间费用分析

           报告期内,公司的期间费用情况如下:

                                                                                       单位:万元
                2021 年 1-9 月            2020 年度                   2019 年度            2018 年度
 项目                       营业收                营业收入                 营业收入               营业收入
                金额                   金额                         金额                金额
                            入比例                  比例                     比例                   比例
销售费用        5,293.91    14.16%    6,635.41      15.23%      4,697.65     18.70%    4,025.75      56.99%

管理费用        2,347.86     6.28%    2,793.24       6.41%      2,144.21      8.53%    2,250.47      31.86%

研发费用      12,955.63     34.65%   12,278.18      28.19%      7,431.87     29.58%   10,797.31   152.84%

                                                 1-1-236
     拓荆科技股份有限公司                                                                     招股说明书



                 2021 年 1-9 月              2020 年度                 2019 年度                 2018 年度
  项目                       营业收                   营业收入                 营业收入                  营业收入
                 金额                     金额                      金额                      金额
                             入比例                     比例                     比例                      比例
财务费用        -1,518.07    -4.06%     -1,035.89       -2.38%      -331.50      -1.32%       -316.37      -4.48%

  合计          19,079.33    51.03%     20,670.95      47.45%     13,942.23     55.49%      16,757.17    237.21%


             报告期内,公司期间费用总额存在波动,2019 年度,公司期间费用总额较
     2018 年度有所下降,系研发费用金额发生下降。2018 至 2020 年度,公司期间费
     用率随着营业收入的上升而逐年下降。2021 年 1-9 月,公司期间费用占营业收入
     的比例与 2020 年度基本持平。

             1、销售费用

             (1)总体情况

             报告期内,公司销售费用总体呈增长趋势,主要由于销售人员的职工薪酬与
     预计产品质保金逐期增长。销售费用的总体变化趋势与公司销售规模的增长趋势
     相符。

             报告期内,公司销售费用率分别为 56.99%、18.70%、15.23%和 14.16%,报
     告期各年度总体呈降低趋势。2018 年至 2020 年度,公司的产品和技术受下游客
     户的认可度逐年提高,营业收入高速增长,使得销售费用率逐年降低。

             (2)销售费用主要构成情况

             报告期内,公司销售费用构成如下:

                                                                                             单位:万元
                   2021 年 1-9 月                2020 年度                 2019 年度                 2018 年度
  项目
                   金额        占比         金额         占比         金额         占比        金额          占比

 职工薪酬         2,553.27     48.23%      2,785.78      41.98%     2,081.08       44.30%     1,458.37      36.23%
 预计产品
                  1,819.95     34.38%      2,143.81      32.31%     1,238.62       26.37%       331.49       8.23%
 质保金
 软件许可
                    146.71      2.77%       380.60        5.74%       111.20       2.37%        107.77       2.68%
 使用费
 保险费              19.23      0.36%       369.99        5.58%       200.17       4.26%         98.95       2.46%

交通差旅费          360.98      6.82%       333.38        5.02%       419.52       8.93%        465.42      11.56%



                                                    1-1-237
     拓荆科技股份有限公司                                                         招股说明书



                   2021 年 1-9 月           2020 年度             2019 年度           2018 年度
  项目
                   金额         占比     金额        占比      金额      占比      金额        占比

 办公费用          143.57       2.71%    276.28       4.16%    116.88     2.49%    133.84      3.32%

佣金代理费         113.08       2.14%    217.54       3.28%    203.09     4.32%    161.23      4.00%

仓储物流费                  -   0.00%           -     0.00%    106.18     2.26%     26.86      0.67%

业务招待费          52.12       0.98%     51.95       0.78%     42.89     0.91%     48.33      1.20%

 股份支付           21.85       0.41%     33.00       0.50%    114.49     2.44%    110.16      2.74%

折旧与摊销          18.63       0.35%     22.26       0.34%     23.15     0.49%     22.51      0.56%

业务拓展费          30.25       0.57%     17.61       0.27%     35.81     0.76%   1,054.95     26.21%

  其他              14.27       0.27%      3.20       0.05%      4.59     0.10%      5.86      0.15%

  合计            5,293.91      100%    6,635.41      100%    4,697.65    100%    4,025.75      100%


             ①职工薪酬

             报告期内,销售费用中的职工薪酬占营业收入的比例为 20.64%、8.28%、
     6.39%及 6.83%,波动趋势与销售费用率波动趋势相符。

             2018-2020 年度,公司销售费用中的职工薪酬逐年上升,占营业收入的比例
     逐年降低。2018-2020 年度,公司经营规模逐年扩大,销售业绩逐年增长,销售
     人员的工资、奖金有所提高,使得销售费用中的职工薪酬增加。但公司营业收入
     增长幅度大于销售人员工资薪金的增长幅度,因此销售费用中的职工薪酬占营业
     收入的比例逐年降低。

             ②预计产品质保金

             预计产品质保金是公司根据销售合同和订单中约定的,公司在相应质保期内
     对所销售的薄膜沉积设备承担的维保义务而计提的产品质量保证相关的预计负
     债。

             公司在计提预计产品质保金时,主要根据以前年度实际维护支出的历史经验
     数据,并参考同行业可比公司的计提政策。报告期内,公司计提的预计产品质保
     金为当期主营业务收入的 5%。

             报告期内,产品质保金的预计负债计提情况列示如下:

                                                1-1-238
拓荆科技股份有限公司                                                         招股说明书


                                                                           单位:万元
     项目              期初余额         本年增加           本年减少       期末余额

2021 年 1-9 月            2,761.96           1,819.95          1,047.16        3,534.75

  2020 年度               1,250.49           2,143.81           632.35         2,761.96

  2019 年度                 384.47           1,238.62           372.61         1,250.49

  2018 年度                 517.82            331.49            464.84          384.47


     报告期内,公司的预计产品质保金总计预提 5,533.87 万元,总计发生 2,516.96
万元,公司预计产品质保金费用计提充分。

     同行业可比公司预计产品质保金计提政策如下:

    可比公司                               预计产品质保金计提政策
                       2016 年至 2018 年,中微公司计提的预计产品质保金约为当期营业收入
    中微公司
                       的 3%-5%。2019、2020 年度未披露相关信息。
    芯 源 微           未对售后服务费计提预计负债

    盛美上海           按设备销售收入的 2%计提售后服务费
                       公司根据机台的价值,将设备类销售收入分为大机台和小机台,在确认
    华海清科           设备销售收入的当月,按照比例计提售后服务费,大机台比例为 5%,
                       小机台比例为 3%

     与同行业可比公司相比,公司预计产品质保金计提充分。

     ③软件许可使用费

     公司与软件供应商签订软件许可协议,被授权在销售的薄膜沉积设备上装载
控制软件,按照公司完成发出或销售设备的数量进行计提费用。

     2020 年度,公司软件许可使用费较 2019 年度增长 242.27%,增长幅度较大,
系因公司于 2020 年度与软件供应商变更了软件计费范围及费率。

     ④保险费

     销售费用中的保险费是公司为生产销售的首台套设备所投的综合保险所支
出的保费,用以弥补产品发生意外时所造成的损失。保险责任限额参考被投保产
品的销售合同金额拟定。2018-2020 年逐年增长,与营业收入规模增长的趋势一
致。

     ⑤交通差旅费

                                          1-1-239
拓荆科技股份有限公司                                              招股说明书



     销售费用中的交通差旅费是公司销售人员和技术支持人员的差旅费用。报告
期内,公司销售费用中的交通差旅费总体呈下降趋势。报告期内,公司在积极扩
大销售规模的同时,也保持着对日常支出的控制,减少不必要的差旅活动。此外,
2020 年初爆发的新冠疫情,使公司的差旅活动进一步减少。2021 年 1-9 月,公
司业务规模继续增长,差旅费支出有所回升。

     ⑥佣金代理费

     2019 年度,公司佣金代理费较 2018 年度增长了 41.86 万元,增幅为 25.96%。
2020 年度,公司佣金代理费较 2019 年度基本持平。

     佣金代理费是公司为拓展台湾地区及大陆台资晶圆厂客户而支付给代理商
的费用,包括固定后勤代理费和按订单金额的一定比例计提的佣金。报告期内,
公司在台湾地区的业务尚处于发展初期,获取订单量较少,因此佣金代理费以固
定后勤代理费为主。2018 年 5 月,公司与新加坡商中微半导体设备股份有限公
司台湾分公司新签订了代理协议,因此 2018 年仅有 8 个月发生了固定后勤代理
费,使得 2019 年公司的佣金代理费较 2018 年有所上升。

     在同行业可比公司公开披露的信息中,芯源微也通过代理商销售模式在台湾
地区开展业务。

     ⑦业务拓展费

     业务拓展费是公司为扩大销售渠道,拓展客户群体而发生的费用,主要包括
产品赠送、展览费用、广告与业务宣传费用。2018 年度,公司的业务拓展费用
远大于报告期其他期间,主要由于公司 2018 年向中芯国际赠送了一台设备,将
相应成本及视同销售的增值税于当期计入销售费用,共计 1,014.90 万元。

     ⑧股份支付

     销售费用中的股份支付费用为公司授予销售部门员工股份而发生的股份支
付费用,具体分析参见本节“十一、经营成果分析”之“(七)股份支付”。

     (3)与同行业可比公司的对比

     报告期内,公司销售费用率与同行业可比公司对比情况具体如下:


                                   1-1-240
拓荆科技股份有限公司                                                      招股说明书



      公司名称         2021 年 1-9 月     2020 年度        2019 年度    2018 年度

      中微公司                10.14%              10.41%       10.12%        13.21%

      芯 源 微                 9.26%              11.34%        9.67%         8.23%

      盛美上海                未披露              10.49%       11.20%        10.91%

      华海清科                未披露              9.51%        12.75%        40.61%

       平均值                  9.70%          10.44%           10.94%       18.24%

        公司                 14.16%           15.23%           18.70%       56.99%
数据来源:可比公司招股说明书、定期报告

     报告期内,公司销售费用率高于同行业可比公司平均水平。主要原因包括:

     ①报告期内,公司收入规模相比同行业可比公司平均水平较小;

     ②公司预计产品质保金的计提比例在同行业中处于较高水平;

     ③2018 年度,公司存在偶发性设备赠送,使得 2018 年度销售费用率与同行
业可比公司差距较大。

     报告期内,随着公司营业收入的上升,公司销售费用率逐年下降,与同行业
可比公司的差距逐年缩小。

     2、管理费用

     (1)总体情况

     2019 年度管理费用较 2018 年度下降 4.72%,变动幅度较小。2020 年度管理
费用较 2019 年度增长 649.04 万元,增幅 30.27%,主要系股份支付费用增长 445.17
万元导致。报告期内,公司管理费用率逐年降低,公司在积极扩大销售规模的同
时,也保持着对日常运营支出的控制,管理费用保持稳定;而公司营业收入的逐
年增长,使得管理费用率逐年降低。

     (2)管理费用主要构成情况

     报告期内,公司管理费用主要构成如下:




                                        1-1-241
拓荆科技股份有限公司                                                             招股说明书


                                                                               单位:万元
                2021 年 1-9 月        2020 年度           2019 年度           2018 年度
  项目
                金额       占比     金额       占比     金额       占比     金额       占比

 职工薪酬      1,480.11   63.04%   1,589.06   56.89%   1,417.02   66.09%   1,546.48   68.72%

 股份支付         17.10   0.73%     452.16    16.19%      6.99    0.33%      13.86    0.62%
 专业机构
                 313.18   13.34%    237.24     8.49%    185.32    8.64%      63.09    2.80%
 服务费
折旧与摊销       205.79   8.76%     218.11     7.81%    228.16    10.64%    226.81    10.08%

 办公费用        209.26   8.91%     231.53     8.29%    222.52    10.38%    272.36    12.10%

交通差旅费        49.04   2.09%      40.72     1.46%     65.94    3.08%      97.59    4.34%

  其他            73.38   3.13%      24.43     0.87%     18.26    0.85%      30.28    1.35%

  合计         2,347.86    100%    2,793.24    100%    2,144.21    100%    2,250.47    100%


     ① 职工薪酬

     管理费用中的职工薪酬,为公司支付给行政、人力、财务等管理部门人员的
工资薪金和奖金。报告期内,管理费用中职工薪酬占营业收入的比例为 21.89%、
5.64%、3.65%和 3.96%。报告期内,公司各管理部门职工薪酬基本保持稳定。2019
年度,公司管理费用职工薪酬较 2018 年度小幅下降,主要系公司副总经理吴飚
(已离职)在 2018 年主要负责公司的管理事宜,而在 2019 年开始负责公司市场
分析相关事宜,因此其 2019 年度的薪酬在销售费用中核算。

     ②股份支付

     管理费用中的股份支付费用为公司授予行政、人力、财务等部门员工股份而
发生的股份支付费用,具体分析参见本节“十一、经营成果分析”之“(七)股
份支付”。

     ③专业机构服务费

     管理费用中的专业机构服务费主要为证券公司、审计机构、律师事务所等中
介机构的服务费和差旅费。

     ④折旧与摊销

     管理费用中的折旧与摊销,为公司行政、人力、财务等管理部门根据使用面

                                           1-1-242
拓荆科技股份有限公司                                                      招股说明书



积分摊的办公楼和土地使用权的折旧摊销费用,以及电脑等办公用品的折旧费
用。报告期内,管理费用中的折旧与摊销费用稳定。

     (3)与同行业可比公司的对比

     报告期内,公司管理费用率与同行业可比公司的对比情况如下:

      公司名称         2021 年 1-9 月     2020 年度        2019 年度    2018 年度

      中微公司                 7.42%              6.73%         5.59%         7.96%

      芯 源 微                11.59%              17.37%       15.96%        13.61%

      盛美上海                未披露              4.99%         4.00%         3.71%

      华海清科                未披露              9.37%        13.02%        55.60%

       平均值                  9.51%              9.62%         9.64%       20.22%

        公司                   6.28%              6.41%         8.53%       31.86%
数据来源:可比公司招股说明书、定期报告
注:华海清科 2019 年管理费用率,剔除了一次性计入管理费用的股份支付的影响。

     公司 2018 年度管理费用率高于同行业可比公司平均水平,系因该年度公司
营业收入规模较小。2019 年度和 2020 年度,公司营业收入规模上升,而管理人
员薪酬、折旧与摊销、办公费用等管理费用主要项目基本保持稳定,使得管理费
用率低于同行业可比公司平均水平。

     3、研发费用

     (1)总体情况

     为进一步提升公司核心技术水平、提高行业竞争力,公司持续进行较大的研
发投入。报告期内,公司的研发费用存在一定波动,总体呈增长趋势。2019 年
度,公司研发费用低于 2018 年度和 2020 年度,主要系该年度研发项目直接材料
投入和专业机构服务费较小。

     (2)研发费用主要构成情况

     报告期内,公司研发费用构成如下:




                                        1-1-243
    拓荆科技股份有限公司                                                             招股说明书


                                                                                    单位:万元
                2021 年 1-9 月           2020 年度              2019 年度             2018 年度
  项目
                金额        占比      金额         占比      金额       占比       金额       占比

 直接投入       4,645.45   35.86%    3,806.90      31.01%   2,651.82    35.68%    4,981.58    46.14%

 职工薪酬       3,673.06   28.35%    3,694.03      30.09%   2,701.16    36.35%    2,310.11    21.40%
 专业机构
                3,463.12   26.73%    3,221.07      26.23%    662.35      8.91%    1,657.75    15.35%
 服务费
折旧与摊销       626.40    4.83%      909.87        7.41%    862.53     11.61%    1,022.15     9.47%

办公差旅费       329.91    2.55%      243.25        1.98%    282.69      3.80%     313.76      2.91%

 股份支付          32.71   0.25%      207.76        1.69%     68.28      0.92%      67.37      0.62%

 水电费          152.87    1.18%      165.17        1.35%    168.45      2.27%     177.56      1.64%

  其他             32.11   0.25%       30.14        0.25%     34.60      0.47%     267.03      2.47%

  合计         12,955.63    100%    12,278.18    100.00%    7,431.87   100.00%   10,797.31   100.00%


          ①直接投入

          直接投入主要为公司在研发过程中投入的原材料。2018 年度,公司直接投
    入支出较高,主要系因公司在 2018 年根据业务需要生产了多台研发测试用机用
    于 PECVD 产品的持续改进。

          ②职工薪酬

          研发费用中的职工薪酬为公司支付给研发部门人员的工资薪金和奖金。公司
    按照具体职能将承担研发任务的员工认定为研发人员,包括工程中心、新技术中
    心、产品中心下的产品部及产品部 CIP 等部门的职工。其中,工程中心主要负责
    公司产品电气及自动控制部分、软件控制部分及系统相关问题研发、解决;新技
    术中心主要负责先进膜工艺开发及相关产品功能组件的研发与优化;产品部及产
    品部 CIP 主要负责公司 PECVD 等产品线的工艺研发、持续优化和改进、以及机
    械相关技术问题的研究、解决。

          2018-2020 年度,公司研发费用中的职工薪酬逐年上升,系因公司研发人员
    人数和人均薪酬均呈上升趋势。

          ③专业机构服务费



                                                1-1-244
拓荆科技股份有限公司                                                        招股说明书



     研发费用中的专业机构服务费,主要为公司委托外部机构对公司研发的新工
艺进行技术参数测试而支出的测试服务费用,主要供应商为 ICRD 和北方集成电
路技术创新中心(北京)有限公司。2018 年度和 2020 年度,ICRD 为公司新研
发的几项工艺进行验证测试并出具工艺验证报告,2021 年 1-9 月,北方集成电路
技术创新中心(北京)有限公司为公司提供测试服务。因此 2018 年度、2020 年
度和 2021 年 1-9 月发生的专业机构服务费较高。

     ④折旧与摊销

     研发费用中的折旧与摊销主要为公司研发部门分摊的办公楼折旧以及使用
的专用设备发生的折旧费用。2019 年度较 2018 年度下降了 15.62%,2020 年度
较 2019 年度上升了 5.49%。

     报告期内,办公楼折旧的分摊比例与研发部门的使用面积及当月装机数量占
总装机数量的比例相关。2019 年度,公司生产装机数量大幅上升,使得研发部
门装机数量占总装机数量的比重下降,因此办公楼折旧在研发费用中的分摊比例
较小。另外,报告期内公司固定资产新增较少,部分专用设备于 2018 年已提足
折旧。以上原因使得公司 2019 年度研发费用中的折旧与摊销发生下降。

     ⑤股份支付

     研发费用中的股份支付费用为公司授予研发部门员工股份而发生的股份支
付费用,具体分析参见本节“十一、经营成果分析”之“(七)股份支付”。

     (3)研发费用按研发项目分类

     公司报告期内主要研发项目情况如下:

                                                                           单位:万元
        项目分类          2021 年 1-9 月   2020 年度       2019 年度       2018 年度
3D NAND PECVD 研发及
                                 721.01         3,518.41      3,674.64        4,520.12
   产业化项目及持续改进
28nm 以下低介电常数薄膜
 和硬掩膜等先进薄膜系列         4,177.78        2,708.24               -               -
           产品
28nm-14nm 通用介质薄膜
                                2,484.90        1,777.83      1,742.56        1,745.99
         系列产品
PF-200T/PF-300&PF-300T
                                1,758.05        1,444.15      1,064.19        2,752.35
         持续改进

                                      1-1-245
拓荆科技股份有限公司                                                          招股说明书



        项目分类           2021 年 1-9 月     2020 年度     2019 年度       2018 年度
原子层沉积系统(ALD)及
                                 1,196.83          888.52         770.99        1,476.79
        持续改进
40nm 以上低介电常数薄膜
 和硬掩膜等先进薄膜系列             19.36          646.74          97.21         300.16
          产品
ALDHTM SiO2 薄膜沉积设
                                   851.15          613.16               -               -
      备及工艺研发
深沟槽填充薄膜工艺产品           1,110.22          353.00          64.98            1.90
多站式后段功能薄膜工艺
                                   119.62          188.59
      系列产品
         TF-Lite                    78.71          125.26          17.29                -
 28nm 以下 Thermal ALD
                                    95.23           14.29               -               -
  AlOx 设备及工艺开发
12 英寸 HDPCVD 介质薄膜
                                   342.78
       先进工艺研发
           合计                 12,955.63       12,278.18       7,431.87      10,797.31


     (4)与同行业可比公司的对比

     报告期内,公司研发费用率与同行业可比公司对比情况具体如下:

      公司名称         2021 年 1-9 月       2020 年度       2019 年度       2018 年度

      中微公司                12.48%              14.55%        12.00%            7.21%

      芯 源 微                12.59%              13.81%        16.45%           16.29%

      盛美上海                未披露              13.97%        13.12%           14.43%

      华海清科                未披露              13.10%        21.32%           88.63%

       平均值                12.54%             13.86%          15.72%          31.64%

        公司                 34.65%             28.19%          29.58%         152.84%
数据来源:可比公司招股说明书、定期报告

     报告期内,公司研发费用率高于同行业可比公司平均水平。主要原因包括:

     ①报告期内,公司处于快速发展阶段的初期,收入规模较小,但研发投入相
对具有刚性;

     ②报告期内,公司研发费用中的专业机构服务费相比同行业可比公司较高。
公司于报告期内研发了多项新工艺,如 ACHM、LokⅠ、ADCⅡ等。公司需要外
部机构对公司的研发成果进行验证并出具工艺验证报告,以更好地了解并推进新


                                        1-1-246
拓荆科技股份有限公司                                                    招股说明书



工艺的研发及产业化进程,因此发生了较大的专业机构服务费。

     随着公司营业收入规模的增长,公司的研发费用率逐年降低。2019 年度和
2020 年度,公司研发费用率与同行业可比公司平均水平差距较 2018 年度已大幅
缩小。

     (5)研发相关内控制度及其执行情况

     公司建立了项目跟踪管理内控制度,并通过信息系统、邮件确认以及日常会
议等其他管理措施,对研发项目的全过程进行有效监控、记录和管理。公司建立
了健全的人员管理内控机制,通过人员招聘制度、人员薪酬标准及绩效政策、人
员日常管理、人员薪酬分配及核算方法等具体规则,实现对研发项目人员的有效
管理;公司建立了研发项目物资管理内控机制,对研发用设备、仪器采购、材料
领用及使用实施有效的管理和控制;公司建立了研发项目财务管理机制,对研发
支出及研发核算进行规范和控制,在财务核算系统上清晰地记录成本归集分配的
过程,定期对项目费用和项目进度进行复核,保证项目在成本可控的情况下进行。

     (6)研发投入的确认依据、核算方法

   项目                归集内容                       归集方法
             研发过程中消耗的材     根据领料单或领料邮件注明的成本中心分配计入对
 直接投入
             料、低值易耗品等       应研发项目
 职工薪酬    就职于研发部门且从事   根据研发部门提交的经审批后的工时表分配计入对
   费用      研发工作的人员薪酬     应研发项目
 专业机构    与研发相关的测试化验   根据测试合同、工艺开发验证报告、项目人员填报
   服务费    加工费、技术服务费等   的测试内容分配计入对应研发项目
                                    设备折旧根据 SAP 系统资产管理模块各资产对应的
             研发使用的固定资产、
 折旧与摊                           成本中心分摊计入对应研发项目;房屋建筑物折旧、
             无形资产的折旧和摊销
   销费用                           土地使用权摊销根据生产、研发占地面积及当月生
             费用
                                    产及研发装机机台数量分配计入对应研发项目
办公费、差   与研发活动相关的办公
                                    根据项目人员填报的项目分配计入对应研发项目
  旅费       费、差旅费等
                                    动力电费根据车间设备耗电量分配计入对应的研发
             与研发活动相关的水电
  水电费                            项目;照明电费及水费等间接费用根据各部门提交
             费
                                    的工时比例分配计入对应研发项目
 股份支付    股份支付费用           根据各部门提交的工时比例分配计入对应研发项目
             研发部门发生的其他必   根据合同、发票、项目人员填报的项目分配计入对
   其他
             要费用                 应研发项目




                                     1-1-247
拓荆科技股份有限公司                                                                            招股说明书



     4、财务费用

     报告期内,公司财务费用构成如下:

                                                                                            单位:万元
               2021 年 1-9 月              2020 年度                2019 年度              2018 年度
  项目                     占营业                   占营业                   占营业                  占营业
               金额          收入        金额         收入        金额       收入        金额          收入
                             比重                     比重                   比重                      比重
利息支出               -   0.00%                -    0.00%        60.59        0.24%     86.66        1.23%
减:利息
               1,511.25    4.04%       1,146.69      2.63%       476.09        1.89%    510.32        7.22%
  收入
汇兑损益         -40.97    -0.11%         86.42      0.20%        61.18        0.24%     90.94        1.29%
银行手续
                  32.35    0.09%          24.38      0.06%        22.82        0.09%     16.34        0.23%
    费
租赁负债
未确认融           1.81    0.00%                -    0.00%               -     0.00%            -     0.00%
  资费用
  合计        -1,518.07    -4.06%      -1,035.89    -2.38%       -331.50     -1.32%     -316.37     -4.48%


     公司财务费用主要由利息支出、利息收入、汇兑损益以及银行手续费等构成。
报告期内,公司财务费用变动的主要原因为公司货币资金规模扩大所导致的利息
收入增长。

     (六)其他收益

     报告期内,公司其他收益主要为计入当期损益的政府补助。报告期内,公司
其他收益均为非经常性损益,明细情况如下:

                                                                                            单位:万元
     项目          2021 年 1-9 月           2020 年度               2019 年度              2018 年度

   政府补助                8,074.57                 5,096.14                 4,326.27               4,691.53
代扣个人所得
                                8.71                      7.25                  6.62                   5.52
税手续费返还
     合计                  8,083.28                 5,103.38                 4,332.90               4,697.05


     1、报告期内政府补助情况

     报告期内,公司政府补助情况如下:




                                                1-1-248
拓荆科技股份有限公司                                                                  招股说明书


                                                                                     单位:万元
                       2021 年
   项目名称                          2020 年度       2019 年度       2018 年度          类型
                        1-9 月
国家集成电路装
备项目 A(介质
                         4,693.24       1,224.58                 -               -   与收益相关
薄膜先进工艺相
      关)
国家科技重大专
项课题 B(先进
                         1,960.41       1,488.71                 -               -   与收益相关
工艺 PECVD 相
      关)
国家科技重大专
项课题 A(ALD             432.53         453.79                  -               -   与收益相关
     相关)
专项实施管理办
公室 02 科技重大                 -               -      2,363.24        2,870.54     与收益相关
    专项项目
专项实施管理办
公室 02 科技重大          218.12         293.45          300.69          300.89      与资产相关
    专项项目
 人才专项补贴             111.47         214.80          427.60          239.80      与收益相关

首台(套)补助             50.03         214.29          289.90          478.09      与收益相关
沈阳市东北振兴
                          116.78         155.71          155.71          155.71      与资产相关
      项目
某项 2020 年补偿
                                 -       240.00                  -               -   与收益相关
      资金
某项 2019 年补助
                                 -        41.00                  -               -   与收益相关
      资金
某项 2019 年发展
                                 -               -       170.00                  -   与收益相关
    资金补助
某项 2020 年度补
                                 -        60.00                  -               -   与收益相关
        助
某项 2019 年度配
                                 -               -       100.00                  -   与收益相关
     套奖励
某项 2019 年度补
                                 -               -        60.00                  -   与收益相关
        助
某项 2018 年度补
                                 -               -               -        60.00      与收益相关
        助
辽宁省“兴辽英
                            8.32          29.18                  -               -   与收益相关
  才计划”项目
  新兴产业发展
                           43.27          57.60           56.56           50.20      与资产相关
    专项资金
沈阳市科技计划
                                 -               -         3.38          122.06      与收益相关
      项目
沈阳市科技计划
                           21.69          30.16          109.38           92.11      与资产相关
      项目
半导体薄膜项目
                            4.42           5.91           10.10           10.56      与资产相关
产业化扶持基金


                                           1-1-249
拓荆科技股份有限公司                                                                 招股说明书


                       2021 年
  项目名称                           2020 年度       2019 年度       2018 年度         类型
                        1-9 月
沈阳市科技创新
“双百工程”                     -               -         9.56           69.19      与收益相关
    项目
沈阳市科技创新
“双百工程”                2.85           4.23            3.93            0.12      与资产相关
    项目
沈阳市专利技术
                            0.35          52.91            7.78            7.78      与资产相关
  补助资金
外经贸发展专项
                                 -               -       164.40           17.51      与收益相关
    补助
  奖励性补助                     -        24.50                  -               -   与收益相关

科技小巨人补贴                   -        50.00                  -               -   与收益相关
国际市场开拓项
                           39.38          96.49                  -               -   与收益相关
    目补贴
精益管理咨询项
                                 -        30.00           30.00                  -   与收益相关
    目补贴
 高企认定补助                    -               -        10.00                  -   与收益相关
海宁市经开区管
委会高端集成电
路先进工艺装备            285.10         211.85                  -               -   与收益相关
研发与产业化
    项目
科技成果转化
                                 -               -               -        22.00      与收益相关
    奖励
   专利补贴                 1.17          23.70           38.20           45.02      与收益相关

 省科技进步奖                    -        64.68                  -        17.00      与收益相关
倍增提质奖励和
                           45.00                 -               -               -   与收益相关
  转型升级奖
国家集成电路装
                           23.17                                                     与收益相关
    备项目 B
   物流补助                10.00                                                     与收益相关

R&D 增量补助                     -               -               -       106.00      与收益相关

     其他                   7.27          28.59           15.84           26.94      与收益相关

     合计                8,074.57       5,096.14        4,326.27        4,691.53


     2、政府补助对发行人报告期与未来期间的影响

     报告期内,公司政府补助占营业收入的占比如下:




                                           1-1-250
     拓荆科技股份有限公司                                                                          招股说明书


                                                                                                  单位:万元
            项目            2021 年 1-9 月            2020 年度            2019 年度            2018 年度

           其他收益                    8,074.57            5,096.14                 4,326.27         4,691.53

           营业收入                37,389.57              43,562.77            25,125.15             7,064.40

             占比                      21.60%                 11.70%                17.22%            66.41%


            公司在报告期内收到的政府补助主要是对公司研发投入的支持。2018 至
     2020 年度,公司政府补助占营业收入的比重逐渐缩小。报告期内,公司营业收
     入主要来自于主营业务收入,对政府补助不存在重大依赖。若未来政府补助政策
     发生变化或者公司不能申请到新的政府补助,不会对公司的正常经营能力产生重
     大影响。

            (七)股份支付

            1、股份支付总体情况

            报告期内,公司股份支付相关的股份授予情况如下:

                           授予数量(股)                                                                         每股
 类别        2021 年        2020          2019         2018         股权来源              股权取得方式            成本
              1-9 月        年度          年度         年度                                                     (元)

股票期权              -            -              -   100,000     2014 年 1 月,     员工直接受让股权;或由
授予后立                                                           公司第一次        沈阳盛旺、沈阳盛龙、沈
即可行权                                                          股权转让时,       阳盛全、沈阳盛腾受让股       1.11
               3,080         9,900         13,300      15,000      孙丽杰转出        权,员工再向员工持股平
的权益
  工具                                                               的股权。          台出资后取得股权。
                                                                  2015 年 7 月,
                                                                                     员工直接受让股权;或由
                                                                   公司第三次
                                                                                     沈阳盛旺受让股权,员工
股票期权              -            -              -    40,000     股权转让时,                                   10.10
                                                                                     再向沈阳盛旺出资后取
                                                                   王祥慧转出
                                                                                           得股权。
                                                                     的股权。
                                                                  2019 年 12 月,    员工通过向员工持股平
授予后立                                                           公司报告期        台出资后取得股份。相应
即可行权                                                           内第一次增        员工持股平台为芯鑫和、
             119,200      1,610,640     9,286,500             -                                                  19.00
的权益                                                            资时,员工持       芯鑫全、芯鑫龙、芯鑫成、
  工具                                                             股平台增资        芯鑫旺、芯鑫盛和芯鑫
                                                                   取得的股权                  阳。
 合计        122,280      1,620,540     9,299,800     155,000


            其中,股票期权最早从 2010 年开始授予,含 3 年或 5 年不等的等待期。其
     中 2014 年度到 2017 年度的股票期权因设定了五年的等待期,故存在报告期初实

                                                        1-1-251
拓荆科技股份有限公司                                                          招股说明书



施的股权激励延续在报告期内分摊确认股份支付费用的情形。2018 年度、2019
年度,前述股权激励对应的股份支付费用分别为 68.92 万元、35.70 万元。2019
年 11 月 6 日,经公司员工持股管理委员会的决议确认,公司提前结算了截至当
日尚处于等待期的所有股票期权。

     报告期内,公司确认的股份支付费用情况如下:

                                                                             单位:万元
     项目              2021年1-9月         2020年度        2019年度         2018年度

   销售费用                      21.85            33.00           114.49          110.16

   管理费用                      17.10           452.16             6.99           13.86

   研发费用                      32.71           207.76            68.28           67.37

   营业成本                       1.10                -             8.49            1.57

     合计                        72.76           692.92           198.25          192.96


     2019 年度,公司授予员工的权益工具为 929.98 万股,高于 2018 年度和 2020
年度股份数。但是,2019 年度股份支付费用与 2018 年度基本持平,且低于 2020
年度。其原因为 2019 年度公司授予的股权中,有 928.65 万元的股权成本与公允
价值均为每股 19 元,不产生股份支付费用。

     2、授予日每股公允价值的确定

     对授予日在 2020 年 5 月 31 日前的股权激励的每股公允价值,公司以同期最
近一次增资或股权转让时的《评估报告》为依据确定。对于报告期内授予日在
2020 年 6 月及以后的股权激励,由于公司在 2020 年度改制基准日以后,收入增
幅较大,故公司未再参照 2019 年末的增资价格,而是参照北京中企华资产评估
有限责任公司以 2020 年 12 月 31 日为评估基准日出具的《评估报告》(中企华
评报字(2021)第 3630 号)确定。报告期内公司授予日权益工具公允价值具体
确定如下:

                       授予日每股
     授予日              公允价值                          依据
                       (元/股)
     2014 年             10.10       《资产评估报告》(中企华评报字(2015)第 3295 号)

     2015 年             11.11       《资产评估报告》(中企华评报字(2015)第 3695 号)


                                            1-1-252
拓荆科技股份有限公司                                                          招股说明书


                       授予日每股
     授予日              公允价值                          依据
                       (元/股)
     2016 年             18.50       《资产评估报告》(中企华评报字(2017)第 3411 号)

     2017 年             18.50       《资产评估报告》(中企华评报字(2017)第 3411 号)

     2018 年             19.00       《资产评估报告》(中企华评报字(2018)第 3695 号)

     2019 年             19.00       《资产评估报告》(中企华评报字(2019)第 3500 号)

  2020 年 1-5 月         19.00       《资产评估报告》(中企华评报字(2019)第 3500 号)

 2020 年 6-12 月         24.50       《资产评估报告》(中企华评报字(2021)第 3630 号)

  2021 年 1-9 月         24.50       《资产评估报告》(中企华评报字(2021)第 3630 号)


     公司根据员工被授予股份的授予日每股公允价值,扣除其股权取得成本后,
将股份支付费用在相应等待期内进行摊销,或一次性确认在授予日所在期间。

     (八)其他利润类科目

     1、资产减值损失

     2018 年度,公司的资产减值损失为应收账款坏账准备、其他应收款坏账准
备以及存货跌价准备的计提、转回和转销。自 2019 年 1 月 1 日起,公司执行新
金融工具准则后,公司的资产减值损失主要为存货跌价准备的计提、转回和转销。
报告期内,公司的资产减值损失分别为 288.26 万元、14.90 万元、520.96 万元和
177.19 万元。

     2、信用减值损失

     公司的信用减值损失为 2019 年 1 月 1 日执行新金融工具准则后的应收账款
和其他应收款的坏账准备的计提、转回和转销。报告期内,公司的信用减值损失
分别为 0.00 万元、96.46 万元、-94.56 万元和-159.28 万元。

     3、营业外收入和营业外支出

     报告期内,公司营业外收支情况如下:

                                                                             单位:万元
     项目              2021年1-9月         2020年度        2019年度         2018年度

  营业外收入                     111.15          207.25            3.94             0.53


                                            1-1-253
 拓荆科技股份有限公司                                                                         招股说明书



         项目             2021年1-9月              2020年度            2019年度            2018年度

   营业外支出                           -                    1.56               3.06                      -


         报告期内,公司营业外收入和支出总体较小。2020 年公司营业外收入金额
 相对较大,主要为润扬嘉禾的增资逾期违约金。2020 年 5 月,公司股东润扬嘉
 禾实缴了其在 2019 年 12 月认缴的 623.3158 万元注册资本,共计投资款 11,843.00
 万元。由于润扬嘉禾未能在《增资协议》约定的期限内及时缴付出资款,根据相
 关协议约定及拓荆有限相关董事会决议,拓荆有限向润扬嘉禾收取逾期违约金
 202.99 万元,形成营业外收入。

         报告期内,除上述事项外,公司其他营业外收支的金额较小,对公司经营状
 况无重大影响。

         (九)纳税情况

         报告期内,公司主要税种的应缴与实缴税额明细情况如下:

                                                                                             单位:万元
                 2021 年 1-9 月               2020 年度                2019 年度              2018 年度
项目
                应缴         实缴           应缴        实缴         应缴       实缴       应缴       实缴

增值税      -3,570.91      2,069.57     1,023.58       1,379.72      850.33            -   -514.71            -
  企业
                 173.62             -              -           -            -          -          -   203.98
所得税
代扣代
缴个人          235.51       684.76         789.71      509.25       391.09     356.64     437.03     377.51
所得税
房产税          106.49       106.52         124.38      124.30       141.54     141.59     138.82     139.68

合计        -3,055.29      2,860.84     1,937.67       2,013.27     1,382.96    498.23      61.14     721.17


         其中,2018 年度和 2021 年 1-9 月,公司增值税应缴税额为负,系因公司相
 应期间进项税额等增值税抵扣额大于销项税额导致。

         (十)扣除非经常性损益后尚未盈利或存在累计未弥补亏损的影响

         报告期内,公司扣除非经常性损益后尚未实现盈利。截至 2021 年 9 月 30
 日,公司不存在未弥补亏损。




                                                   1-1-254
拓荆科技股份有限公司                                            招股说明书



     1、扣除非经常性损益后尚未盈利的原因分析

     (1)公司所处的半导体设备行业具有技术含量较高、研发投入大、产品验
证周期长、需求迭代速度快的特点。

     半导体设备产品从研发到产品定型需要经过方案设计、研发样机制造、参数
测试、产业化验证、工艺改进等多道流程,时间周期长,研发投入大,物料成本
高。半导体设备的产业化应用需要经过不同客户多道工艺验证方可实现规模销
售。由于以上行业特点,国内半导体设备企业需要经过较为长期的持续投入期,
待产品成熟、得到下游客户充分的产业化验证后,方能进入稳定盈利期。

     (2)持续大量的研发投入

     报告期内,公司研发费用占营业收入的整体占比较高。报告期内,公司持续
高强度的研发投入是建立产品竞争力、对标国际技术水平前沿、不断丰富设备型
号的前瞻性考虑,为公司实现“建立世界领先的薄膜设备公司”的愿景奠定基础。

     (3)规模效应尚有提升空间

     在薄膜沉积设备领域,市场长期被海外半导体设备厂商垄断,公司作为后发
者面临市场竞争壁垒。报告期内,公司产品日渐丰富,客户群体逐渐扩大,未来
增长空间进一步拓宽。2019、2020 年度,公司营业收入已大幅增长,但是相比
海外半导体设备巨头,销售数量和金额仍然较小,规模效应尚有提升空间。

     2、影响分析

     报告期内,公司现金流逐年转好,营业收入高速增长,人才与团队保持稳定,
研发投入及人才投入呈上升趋势,扣除非经常性损益后亏损逐年收窄。公司扣除
非经常性损益后尚未盈利的情况,对公司现金流、业务拓展、人才吸引、团队稳
定性、研发投入、战略性投入、生产经营可持续性等方面均不存在重大不利影响。

     3、趋势分析

     公司基于以下假设,对未来的经营情况进行了估计:①公司所遵循的国家和
地方现行有关法律、法规和经济政策无重大变化;②国家宏观经济继续平稳发展;
③公司所处行业与市场环境不会发生重大变化;④公司无重大经营决策失误和足


                                 1-1-255
拓荆科技股份有限公司                                                           招股说明书



以严重影响公司正常运转的重大人事变动;⑤不会发生对公司正常经营造成重大
不利影响的突发性事件或其他不可抗力因素;⑥本次公司的股票发行成功上市,
募集资金顺利到位,公司的募投项目得以顺利实施并取得预期收益;⑦公司未来
经营中固定成本、变动成本结构未发生重大变化。

     在上述假设条件下,公司根据已发出机台和在手订单情况,结合相应客户的
历史验收周期,经初步测算,公司预计能在 2021 年度完成 40 台以上薄膜沉积设
备的销售,并在当年实现盈利。

     在上述假设条件下,公司根据报告期内的历史收入增长率,已发出机台和在
手订单情况,结合主要客户扩产计划和公司生产能力提升,经初步测算,预计能
在 2024 年度完成 80 台以上薄膜沉积设备的销售,并在 2024 年度实现扣除非经
常性损益后的盈利。

     尽管公司及公司管理层相信,上述预期或讨论所依据的假设是审慎、合理的,
但亦提醒投资者注意,上述预测是否能够实现仍然存在重大不确定性。鉴于该等
风险及不确定因素的存在,上述前瞻性陈述,不应视为本公司的承诺或声明,投
资者进行投资决策时应谨慎使用。

     4、风险因素、投资者保护措施及承诺

     与扣除非经常性损益后尚未盈利相关的风险请参见本招股说明书之“第四节
风险因素”之“三、财务风险”之“(一)扣除非经常性损益后尚未盈利及持续
亏损的风险”。相关的投资者保护措施,以及董事、监事、高级管理人员、核心
技术人员按照相关规定作出的关于减持股份的承诺,请参见本招股说明书之“第
十节 投资者保护”。

     (十一)最近一期经营成果与上年同期的对比分析

     2021 年 1-9 月,公司主要利润表科目与上年同期对比如下:

                                                                            单位:万元/%
         项目          2021 年 1-9 月       2020 年 1-9 月     变动金额       变动比率

      营业收入              37,389.57              16,329.89    21,059.67       128.96%

      营业利润                5,627.12             -5,830.60    11,457.72                -


                                         1-1-256
         拓荆科技股份有限公司                                                                    招股说明书



                   项目                2021 年 1-9 月       2020 年 1-9 月      变动金额        变动比率

                 利润总额                     5,738.27             -5,629.12        11,367.39               -

                  净利润                      5,704.87             -5,629.12        11,333.99               -
           归属于母公司股东的
                                              5,796.38             -5,629.12        11,425.49               -
                 净利润
           扣除非经常性损益后
           归属于母公司股东的                -2,305.21             -6,887.65         4,582.44               -
                 净利润
         注 1:负数无法计算变动比率。
         注 2:2020 年 1-9 月数据经天健会计师审阅,未经审计。

              2021 年 1-9 月公司营业收入同比增长 21,059.67 万元,增幅 128.96%,主要
         是由于:①国内半导体设备行业需求增长,为公司业绩增长提供了良好的产业环
         境;②公司持续大量的研发投入加快了公司产品升级创新的速度和产品成熟度,
         增强了产品竞争力和客户认可度。随着营业收入快速增长,公司各项利润指标均
         相应增长,归属于母公司股东的净利润增加 11,425.49 万元,实现扭亏为盈,扣
         除非经常性损益后归属于母公司股东的净利润增加 4,582.44 万元,亏损进一步收
         窄。

         十二、财务状况分析

                (一)资产状况分析

                1、资产构成及其变化分析

              报告期各期末,公司流动资产和非流动资产金额及占总资产的比例情况如
         下:

                                                                                                单位:万元
                 2021 年 9 月 30 日         2020 年 12 月 31 日        2019 年 12 月 31 日      2018 年 12 月 31 日
  项目
                  金额          占比         金额           占比         金额          占比      金额           占比

流动资产         203,685.11     86.85%     160,904.30       88.70%      85,655.87     79.75%    69,425.69       74.94%
非流动资
                  30,844.78     13.15%      20,502.61       11.30%      21,755.82     20.25%    23,218.87       25.06%
  产
资产总计         234,529.89      100%      181,406.91        100%      107,411.69      100%     92,644.56        100%


              报告期各期末,公司资产结构主要以流动资产为主。公司生产所需的零部件


                                                         1-1-257
          拓荆科技股份有限公司                                                          招股说明书



          主要通过直接采购、定制化采购和委托加工获得,公司主要负责组装和检验测试,
          对固定资产的依赖较小。因此,报告期各期末公司的流动资产占比较高。

               报告期各期末,公司资产规模逐期增长,主要系因流动资产中的货币资金和
          存货金额的增加。

               2、流动资产构成及其变化分析

               报告期各期末,公司流动资产构成情况如下:

                                                                                       单位:万元
                  2021 年 9 月 30 日       2020 年 12 月 31 日   2019 年 12 月 31 日    2018 年 12 月 31 日
   项目
                   金额           占比       金额        占比     金额        占比        金额       占比

 货币资金         80,530.50       39.54%   100,399.75   62.40%   36,216.88    42.28%    27,545.21    39.68%

 应收票据            350.00        0.17%            -    0.00%      40.00      0.05%             -   0.00%

 应收账款         12,227.12        6.00%     7,189.17    4.47%   13,138.86    15.34%     6,271.99    9.03%

 预付款项          4,504.38        2.21%      368.10     0.23%     207.80      0.24%      278.27     0.40%

其他应收款           424.78        0.21%      300.44     0.19%     306.93      0.36%     1,499.40    2.16%

   存货           97,838.83       48.03%    51,208.04   31.83%   34,997.69    40.86%    32,232.77    46.43%

 合同资产            810.53        0.40%      264.82     0.16%           -     0.00%             -   0.00%
一年内到期
的非流动资           182.36        0.09%       53.56     0.03%           -     0.00%             -   0.00%
    产
  其他流动
                   6,816.60        3.35%     1,120.42    0.70%     747.72      0.87%     1,598.04    2.30%
    资产
   合计          203,685.11      100.00%   160,904.30    100%    85,655.87     100%     69,425.69     100%


               报告期各期末,公司流动资产主要由货币资金、应收账款和存货构成,上述
          三项资产的合计金额占公司报告期各期末的流动资产总额的比例分别为
          95.14%、98.48%、98.69%和 93.57%。

               报告期各期末,公司流动资产主要项目具体分析如下:

               (1)货币资金

               报告期各期末,公司货币资金的具体情况如下:




                                                    1-1-258
拓荆科技股份有限公司                                                                招股说明书


                                                                                   单位:万元
                        2021 年                2020 年            2019 年           2018 年
      项目
                       9 月 30 日            12 月 31 日        12 月 31 日       12 月 31 日
 货币资金余额              80,530.50            100,399.75          36,216.88       27,545.21

其中:库存现金                  0.45                    0.12              0.18            0.15

   银行存款                76,631.38             94,977.90          34,685.21       26,240.51

 其他货币资金               3,898.67              5,421.73            1,531.49        1,304.55


     公司货币资金由库存现金、银行存款、其他货币资金组成。报告期各期末,
公司货币资金占流动资产的 39.68%、42.28%、62.40%和 39.54%,是公司流动资
产的重要组成部分。

     2019 年末公司货币资金较 2018 年末增加了 8,671.67 万元,增幅 31.48%,主
要原因为公司于 2019 年 12 月引进了新股东,并于 2019 年收到 13,750.00 万元的
实缴投资款。

     2020 年末公司货币资金较 2019 年末增加 64,182.87 万元,增幅为 177.22%,
主要原因是 2020 年公司销售业绩及回款情况良好,销售商品、提供劳务收到的
现金达到 64,036.36 万元,经营性现金净流入达到 30,891.27 万元。此外,公司在
2020 年度收到 2019 年 12 月引进的新股东实缴的剩余 31,250.00 万元的投资款。

     2021 年 9 月末,公司货币资金规模较 2020 年末下降 19,869.25 万元。随着
公司订单规模的增长,公司的产能需求和原材料需求均有所上升,因此公司新投
资了上海闵联临港园区三期标准厂房项目等在建工程,使得投资活动现金流量净
流出 10,427.23 万元。

     报告期各期末,公司的受限资金如下:

                                                                                   单位:万元
                            2021 年             2020 年           2019 年           2018 年
         项目
                           9 月 30 日         12 月 31 日       12 月 31 日       12 月 31 日
  政府补助专项资金                       -         2,399.00                   -              -

 银行承兑汇票保证金            3,626.00            2,793.63          1,092.79         1,154.55

     保函保证金                      48.90             229.10         438.70           150.00

    信用证保证金                    223.77                  -                 -              -

  其他货币资金小计             3,898.67            5,421.73          1,531.49         1,304.55

                                             1-1-259
拓荆科技股份有限公司                                                                    招股说明书


                            2021 年              2020 年               2019 年          2018 年
         项目
                           9 月 30 日          12 月 31 日           12 月 31 日      12 月 31 日
       应收利息                           -                     -           140.02                  -

    受限资金合计               3,898.67             5,421.73              1,671.51        1,304.55


     其中,政府补助专项资金,指公司的政府补助专户已收到但尚未获取可使用
批复的政府补助款项。

     (2)应收账款

     报告期各期末,公司应收账款基本情况如下:

                                                                                       单位:万元
                          2021 年               2020 年               2019 年           2018 年
       项目
                         9 月 30 日           12 月 31 日           12 月 31 日       12 月 31 日
   应收账款余额             12,356.92              7,406.55             13,476.81         6,540.84

     坏账准备                  129.80                217.38               337.95            268.85

   应收账款净额             12,227.12              7,189.17             13,138.86         6,271.99

坏账平均计提比例               1.05%                    2.93%              2.51%            4.11%


     ①应收账款变动分析

     报告期各期末,公司应收账款余额变动及占营业收入的比例情况如下:

                                                                                       单位:万元
                        2021 年                 2020 年                2019 年          2018 年
      项目
                       9 月 30 日             12 月 31 日            12 月 31 日      12 月 31 日
 应收账款余额              12,356.92               7,406.55               13,476.81       6,540.84

   变动比例                  66.84%                 -45.04%                106.04%                  -

   营业收入                37,389.57              43,562.77               25,125.15       7,064.40

   变动比例                           -             73.38%                 255.66%                  -
应收账款余额占
                                 -            17.00%          53.64%        92.59%
  营业收入比例
注:由于 2021 年 1-9 月营业收入与 2018-2020 年营业收入不具有可比性,因此未计算营业
收入的变动比例和应收账款占营业收入的比例。

     报告期各年末,公司应收账款余额占营业收入的比例逐年下降,公司应收账
款增幅低于营业收入增幅,且 2020 年应收账款变动趋势与营业收入变动趋势不
一致。造成以上现象的具体原因如下:①2018 年度,公司营业收入较少,主营


                                              1-1-260
           拓荆科技股份有限公司                                                                招股说明书



           业务销售机台数量较小,公司 2018 年度确认主营业务收入的 4 家客户中,重庆
           万国半导体科技有限公司和长江存储均未回款,形成应收账款 5,046.31 万元,使
           得当年销售回款情况不佳,应收账款占营业收入的比例较高;②2019 年度,公
           司营业收入增长,回款情况好转,因此应收账款增幅低于营业收入增幅,应收账
           款余额占营业收入的比例也发生下降;③2020 年度,公司营业收入进一步上升,
           回款情况也进一步改善,使得公司应收账款规模下降,且占营业收入的比例进一
           步下降。

                ②应收账款账龄结构

                报告期各期末,公司应收账款账龄结构如下:

                                                                                              单位:万元
                  2021 年 9 月 30 日         2020 年 12 月 31 日       2019 年 12 月 31 日     2018 年 12 月 31 日
  账龄
                   金额           占比       金额           占比        金额        占比         金额        占比

6 个月以内       12,226.12        98.94%     6,536.83       88.26%     12,232.00    90.76% 5,232.91          80.00%

7-12 个月           120.82         0.98%         9.98        0.13%        26.13       0.19%      189.79       2.90%

  1-2 年              9.98         0.08%      408.71         5.52%      1,002.29      7.44%      439.60       6.72%

  2-3 年                  -              -    451.03         6.09%          9.39      0.07%      624.76       9.55%

  3-4 年                  -              -          -              -     207.00       1.54%       53.78       0.82%

  合计           12,356.92    100.00%        7,406.55      100.00%     13,476.81   100.00%     6,540.84     100.00%


                报告期各期末,公司账龄在一年以内的应收账款余额占比超过 80%。报告期
           内,公司应收账款期后回款情况总体良好。截至本招股说明书签署日,公司已将
           报告期各期末账龄 2 年以上的应收账款全部收回。

                报告期内,公司不存在核销应收账款以优化账龄结构的情况。

                ③坏账计提分析

                报告期各期末,公司应收账款坏账准备计提情况如下:

                                                                                              单位:万元




                                                        1-1-261
拓荆科技股份有限公司                                                                         招股说明书


                  2021 年                     2020 年                 2019 年               2018 年
                 9 月 30 日                 12 月 31 日             12 月 31 日           12 月 31 日
  项目
               应收        坏账           应收       坏账         应收       坏账       应收       坏账
               余额        准备           余额       准备         余额       准备       余额       准备
6 个月以
              12,226.12     122.26    6,536.83       65.37      12,232.00   122.32   5,232.91       52.33
    内
7-12 个月        120.82       6.04          9.98      0.50         26.13      1.31      189.79       9.49

  1-2 年           9.98       1.50        408.71     61.31       1,002.29   150.34      439.60      65.94

  2-3 年                -         -       451.03     90.21           9.39     1.88      624.76     124.95

  3-4 年                -         -            -            -     207.00     62.10       53.78      16.14

  合计        12,356.92     129.80    7,406.55      217.38      13,476.81   337.95   6,540.84      268.85


     报告期内,公司不存在单独计提坏账准备的应收账款。

     同行业可比公司坏账计提政策如下:

                                                                                              单位:%
所属期末         账龄          中微公司            芯源微        盛美上海     华海清科       拓荆科技

              6 个月以内          1.00              5.00           1.00         1.00              1.00

               7-12 个月          5.00              5.00           5.00         5.00              5.00

                 1-2 年           15.00            10.00           10.00        15.00            15.00

2018 年末        2-3 年           20.00            30.00           20.00        20.00            20.00

                 3-4 年           30.00            50.00           25.00        30.00            30.00

                 4-5 年           50.00            80.00           30.00        50.00            50.00

               5 年以上         100.00             100.00         100.00       100.00            100.00

              6 个月以内          2.75              5.00           1.00         1.00              1.00

               7-12 个月          2.75              5.00           5.00         5.00              5.00

                 1-2 年           24.63            10.00           10.00        15.00            15.00

2019 年末        2-3 年           70.86            30.00           20.00        20.00            20.00

                 3-4 年           99.58            50.00           25.00        30.00            30.00

                 4-5 年         100.00             80.00           30.00        50.00            50.00

               5 年以上         100.00             100.00         100.00       100.00            100.00

              6 个月以内          2.20              5.00           1.00         1.00              1.00
2020 年末
               7-12 个月          2.20              5.00           5.00         5.00              5.00



                                               1-1-262
拓荆科技股份有限公司                                                          招股说明书



所属期末          账龄        中微公司     芯源微    盛美上海     华海清科    拓荆科技

                  1-2 年        14.84       10.00      10.00       15.00        15.00

                  2-3 年        60.78       30.00      20.00       20.00        20.00

                  3-4 年        96.49       50.00      25.00       30.00        30.00

                  4-5 年        100.00      80.00      30.00       50.00        50.00

                 5 年以上       100.00     100.00     100.00       100.00      100.00
注:同行业可比公司尚未披露 2021 年三季报,或三季报中未披露坏账准备的会计政策。

     报告期内,公司各账龄区间的应收账款坏账计提比例与同行业可比公司相比
不存在重大差异。

     ④主要客户的应收账款情况

     报告期各期末,公司应收账款余额中排名前五的客户情况如下:

                                                                             单位:万元
    所属期末                  客户名称              期末余额      占应收账款余额比重

                         北京屹唐科技有限公司          4,399.80                  35.61%

                         睿力集成电路有限公司          3,640.71                  29.46%

    2021 年                    中芯国际                1,854.78                  15.01%
   9 月 30 日          长江存储科技有限责任公司        1,773.32                  14.35%

                               华虹集团                 520.30                    4.21%

                                 合计                 12,188.91                 98.64%

                               华虹集团                2,269.88                  30.65%
                       广州粤芯半导体技术有限公
                                                       1,774.24                  23.96%
                                   司

     2020 年                   北京燕东                1,357.60                  18.33%
   12 月 31 日                 北京晶瑞                1,000.31                  13.51%
                       重庆万国半导体科技有限公
                                                        451.03                    6.09%
                                   司
                                 合计                  6,853.06                 92.53%

                               中芯国际                4,944.80                  36.69%
     2019 年
                               北京晶瑞                2,244.74                  16.66%
   12 月 31 日
                               北京燕东                1,902.40                  14.12%



                                          1-1-263
拓荆科技股份有限公司                                                                    招股说明书



    所属期末                        客户名称                  期末余额        占应收账款余额比重

                                    长江存储                     1,569.72                  11.65%

                                    华虹集团                     1,158.66                   8.60%

                                      合计                      11,820.32                 87.72%
                       重庆万国半导体科技有限公
                                                                 3,342.29                  51.10%
                                   司
                                    长江存储                     1,734.01                  26.51%

                                    中芯国际                      317.89                    4.86%
     2018 年
   12 月 31 日         苏州晶方半导体科技股份有
                                                                  300.31                    4.59%
                               限公司
                       天津维普泰克科技发展有限
                                                                  252.72                    3.86%
                                 公司
                                      合计                       5,947.22                 90.92%
注:以上数据已按照同一控制下合并口径披露,具体包括:a.中芯国际包含中芯国际集成电
路制造(北京)有限公司、中芯国际集成电路制造(天津)有限公司、中芯北方集成电路制
造(北京)有限公司及中芯国际集成电路制造(深圳)有限公司;b.长江存储包含长江存储
科技有限责任公司及武汉新芯集成电路制造有限公司;c.北京燕东包含北京燕东微电子股份
有限公司及北京燕东微电子科技有限公司;d.华虹集团包含华虹半导体(无锡)有限公司、
ICRD、上海华力集成电路制造有限公司及上海华力微电子有限公司;e.北京晶瑞包含北京
晶瑞科技有限公司及 GLOBAL FUNDAMENTAL LIMITED。

     因公司下游客户相对集中,导致应收账款前五名客户所占比例相应较高。

     ⑤期后回款情况

     截至本招股说明书签署日,报告期各期末应收账款回收情况如下:

                                                                                        单位:万元
                        2021 年                2020 年              2019 年            2018 年
     项目
                       9 月 30 日            12 月 31 日          12 月 31 日        12 月 31 日
应收账款余额              12,356.92                7,406.55              13,476.81        6,540.84

  期后回款                11,209.07                6,954.40              13,422.71        6,540.84

  回款比例                  90.71%                  93.90%                 99.60%         100.00%


     由上表可知,公司期后回款情况良好。

     (3)存货

     ①存货构成情况

     报告期各期末,公司存货的具体情况如下:

                                                1-1-264
      拓荆科技股份有限公司                                                            招股说明书


                                                                                     单位:万元
                      2021 年                2020 年               2019 年               2018 年
   项目              9 月 30 日            12 月 31 日           12 月 31 日           12 月 31 日
                  金额        占比       金额       占比       金额        占比       金额         占比

  原材料         18,917.83    19.10%    8,916.73    17.02%    5,919.63     16.54%   10,260.48      31.04%

  在产品         19,012.47    19.20%    5,204.95     9.94%    6,274.73     17.54%    2,525.28       7.64%

  库存商品        1,599.90     1.62%    1,414.76     2.70%            -     0.00%    3,135.06       9.49%

  发出商品       59,321.12    59.90%   36,746.35    70.15%   23,503.18     65.68%   17,016.48      51.48%

委托加工物资       138.36      0.14%      85.61      0.16%      74.85       0.21%     101.38        0.31%

 低值易耗品         42.17      0.04%      12.76      0.02%      10.61       0.03%      13.42        0.04%

 账面余额        99,031.84   100.00%   52,381.17   100.00%   35,782.99    100.00%   33,052.11   100.00%
减:存货跌价
                 -1,193.01    -1.20%    1,173.13     2.24%     785.31       2.19%     819.34        2.48%
    准备
 存货净额        97,838.83   98.80%    51,208.04   97.76%    34,997.69    97.81%    32,232.77      97.52%


             报告期各期末,公司存货主要由原材料、在产品、库存商品及发出商品构成。
      上述几项存货明细合计占存货余额的比例分别为 99.66%、99.76%、99.81%和
      99.82%。

             公司存货中主要明细科目的变动原因如下:

             a、原材料

             2019 年末,公司原材料较 2018 年末下降 4,340.85 万元,下降比例为 42.31%。
      系因 2018 年度公司大力推动产品的产业化验证,demo 机台较多。公司在 2018
      年度根据与客户沟通的相关需求进行了备货,但部分 demo 机台在验证过程中更
      改了个别非标准模块配置的要求,使得部分原材料未在 2018 年度被领用,致使
      2018 年末原材料结存较高。

             2020 年末和 2021 年 9 月末,公司的原材料金额随业务规模上升而上升。

             b、在产品及库存商品

             公司的产品根据客户的差异化需求和采购意向,进行定制化设计及生产制
      造。在机台生产完成后,通常会发运至客户生产线,使得公司的库存商品余额占
      比较小。公司的库存商品账面余额为期末完工且尚未发出的产成品。报告期各期


                                                1-1-265
拓荆科技股份有限公司                                                           招股说明书



末,公司分别在期末完成 3 台、0 台、1 台和 1 台设备的生产并留存在仓库中,
形成库存商品余额。

     报告期各期末,公司的在产品和库存商品的余额总计分别为 5,660.35 万元、
6,274.73 万元、6,619.72 万元和 20,612.37 万元,随公司经营规模的扩大而逐期增
长。

     c、发出商品

     公司发出商品主要为已发往客户生产线但尚未验收的薄膜沉积设备。已发出
的设备,需要客户现场进行安装、试运行、验证,所需的验收周期较长,因此发
出商品占存货的比重较高。报告期各期末,公司发出商品余额随着公司订单规模
的增长而逐期上升。

     ②存货跌价准备的计提

     报告期各期末,公司存货跌价准备具体情况如下:

                                                                              单位:万元
                        2021 年           2020 年           2019 年           2018 年
    项目
                       9 月 30 日       12 月 31 日       12 月 31 日       12 月 31 日
   原材料                   1,181.67         1,164.17            785.31            819.34

   在产品                           -                 -                 -                 -

  库存商品                          -                 -                 -                 -

  发出商品                     10.62             8.96                   -                 -

委托加工物资                        -                 -                 -                 -

 低值易耗品                     0.73                  -                 -                 -

跌价准备合计                1,193.01         1,173.13            785.31            819.34


     公司在每个资产负债表日,采用成本与可变现净值孰低的原则计量存货账面
价值,按照存货成本高于可变现净值的差额计提存货跌价准备。

     报告期内,公司原材料和发出商品的存货跌价准备的具体情况如下:

     a、原材料跌价准备计提情况




                                           1-1-266
拓荆科技股份有限公司                                                    招股说明书


                                                                       单位:万元
                                      本期增加额       本期减少额
     期间              期初余额                                       期末余额
                                        (计提)     (转回或转销)
   2018 年度                579.32          284.53            44.51        819.34

   2019 年度                819.34           14.90            48.93        785.31

   2020 年度                785.31          521.14           142.28       1,164.17

 2021 年 1-9 月            1,164.17         169.67           152.17       1,181.67


     公司根据原材料长账龄的原因及后续规划的使用用途,识别出呆滞物料,并
按照其期末余额的 100%计提存货跌价准备。报告期各期末,公司原材料存货跌
价准备计提充分。

     b、发出商品跌价准备计提情况

     公司于 2020 年末对一台 TFLITE 设备计提了 8.96 万元的跌价准备,2021 年
9 月末对该设备补计提了 1.65 万元跌价准备。其余报告期末,公司未发现需要计
提发出商品跌价准备的情况。公司主要根据发出商品预计售价,扣除估计的销售
费用和相关税费后的净额作为可变现净值,对成本大于可变现净值的发出商品计
提跌价准备。对于销售机台,公司以已签署的正式订单售价作为预计售价。对于
Demo 机台,公司主要以同期已签合同的同系列机台的售价均值作为预计售价。

     公司的 Demo 机台,大部分在获得客户验收前是零 Demo 的状态(指 Demo
未约定销售价格,待 Demo 完成后客户确定采购时另行定价),而少数 Demo 机
台的非正式 Demo 订单上约定了后续签约价格。在对 Demo 机台进行减值测试时,
所参照的同系列机台包括销售机台价格及有订单金额的 Demo 机台,以均值作为
预计售价。

     报告期末,公司发出商品里的 20 台 Demo 机台中,有 17 台处于零 Demo 状
态,3 台约定了后续签约价格。截至 2021 年 9 月 30 日,前述 17 台零 Demo 机
台已有 7 台获取正式订单,其中 2 台完成验收,剩余 10 台仍处于零 Demo 状态。
后续取得的正式订单中,不存在亏损合同的情况。

     公司 Demo 机台后续实现的销售价格与销售机台正式订单价格相比,除偶发
性的战略让步导致的价格偏低外,无明显偏低的情况。公司总体采用成本加成法
确定产品的定价,而非根据 Demo 机台或销售机台区别定价,故 Demo 机台使用

                                       1-1-267
     拓荆科技股份有限公司                                                                         招股说明书



     同期已签合同的同系列机台的售价均值(包括销售机台价格及有订单金额的
     Demo 机台)作为预计售价具有合理性。

          在此基础上,结合 Demo 机台的预计可变现净值,公司发出商品中 Demo 机
     台未出现减值迹象,无需计提存货跌价准备。

          (4)其他应收款

          报告期各期末,其他应收款按款项性质分类情况如下:

                                                                                             单位:万元
                                  2021 年               2020 年           2019 年             2018 年
               项目
                                 9 月 30 日           12 月 31 日       12 月 31 日         12 月 31 日
          押金保证金                   404.69                 301.86          314.16                 980.87

            应收利息                          -                     -                 -              464.28

          应收暂付款                      38.53                36.24           45.94                  26.94

          出口退税款                          -                     -                 -               53.12

     其他应收款账面余额                443.23                 338.10          360.10                1,525.21

        减:坏账准备                      18.45                37.65           53.17                  25.81

     其他应收款账面价值                424.78                 300.44          306.93                1,499.40


          报告期各期末,公司其他应收款主要由保证金构成。2019 年末,公司押金
     保证金金额较 2018 年有所减少,主要系 2019 年度收到部分退回的进口代理保证
     金所致。

          报告期内,公司其他应收款坏账准备计提具体情况如下:

                                                                                          单位:万元
                            2021 年                     2020 年               2019 年             2018 年
                           9 月 30 日                 12 月 31 日           12 月 31 日         12 月 31 日
     项目
                       其他应      坏账           其他应       坏账     其他应       坏账     其他应 坏账
                       收余额      准备           收余额       准备     收余额       准备     收余额 准备
单项金额重大并
单独计提坏账准备
单项金额不重大但
                                                                           27.29          27.29
单独计提坏账准备
按信用风险特征
                        443.23       18.45         338.10       37.65     332.81          25.88    1,060.93    25.81
  计提坏账准备
   6 个月以内           233.25        2.33         198.70        1.99     207.46           2.07      953.46     9.53

   7-12 个月            157.17        7.86          13.28        0.66       6.90           0.34        0.53     0.03

                                                    1-1-268
拓荆科技股份有限公司                                                                              招股说明书


                     2021 年                          2020 年                     2019 年                 2018 年
                    9 月 30 日                      12 月 31 日                 12 月 31 日             12 月 31 日
项目
                其他应      坏账                其他应       坏账           其他应       坏账         其他应 坏账
                收余额      准备                收余额       准备           收余额       准备         收余额 准备
1-2 年               46.12             6.92          14.44       2.17         12.05        1.81        105.13      15.77

2-3 年                 6.67            1.33           6.68       1.34        105.03      21.01           0.64       0.13

3-4 年                 0.02            0.00      105.00         31.50          0.20        0.06          1.18       0.35

4-5 年                     -              -              -          -          1.18        0.59                -       -

合计              443.23              18.45      338.10         37.65        360.10      53.17        1,060.93     25.81


     2019 年末,公司单项计提坏账准备的其他应收款为 2017 年预付供应商
SSNEGroup,Inc.的材料款,共计 27.29 万元。2020 年度,经管理层批准后,公司
将该笔款项核销。该笔款项金额不重大,未对公司经营造成实质性影响。

     报告期内,公司其他应收款的账龄主要在 1 年以内,账龄在 1 年以上的其他
应收款主要为 2017 年度支付给沈阳市浑南区建筑与市政工程质量监督站的关于
办公楼建设的保证金,共计 105.00 万元,该笔款项已于 2021 年一季度回款。

     (5)预付款项

     报告期各期末,公司预付款项分别为 278.27 万元、207.80 万元、368.10 万
元和 4,504.38 万元。2018 年至 2020 年,公司预付款项总体金额较小。

     2021 年 9 月末,公司预付款项规模大幅上升,主要原因为公司为满足研发
和生产需要,使得预付专业机构服务费和原材料采购款大幅增加。其中,预付专
业机构服务费主要为预付 ICRD 的测试服务费 2,512.51 万元。

     公司预付款项主要为专业机构服务费、原材料及能源的采购款,报告期各期
末公司预付账款账龄结构如下:

                                                                                              单位:万元
                  2021 年                       2020 年               2019 年                2018 年
  项目           9 月 30 日                   12 月 31 日           12 月 31 日            12 月 31 日
              金额             占比       金额         占比      金额          占比      金额           占比

1 年以内     4,463.06      99.08%        324.61       88.19%     163.80       78.83%     256.32        92.11%

 1-2 年                -       0.00%             -      0.00%     44.00       21.17%      21.95         7.89%

 2-3 年         41.32          0.92%      43.49        11.81%           -      0.00%              -     0.00%


                                                     1-1-269
拓荆科技股份有限公司                                                                           招股说明书


                   2021 年                2020 年                  2019 年                  2018 年
  项目            9 月 30 日            12 月 31 日              12 月 31 日              12 月 31 日
              金额         占比       金额           占比      金额         占比       金额        占比

3 年以上               -   0.00%             -       0.00%              -   0.00%             -     0.00%

  合计       4,504.38      100%       368.10         100%      207.80       100%       278.27       100%


     2020 年末和 2021 年 9 月末,公司账龄 2 年以上的预付款项为预付给国家电
网的应急供电预存电费,其长账龄具有合理性,且信用风险较小、金额不重大,
不会对公司经营造成重大不利影响。

     (6)合同资产

     公司合同资产用于核算质保期在 1 年以内的销售合同的应收质保金。质保期
在 1 年以上的质保金,纳入一年内到期的非流动资产或其他非流动资产中列报。

     2020 年末和 2021 年 9 月末,公司合同资产余额分别为 267.50 万元和 818.72
万元,减值准备分别为 2.67 万元和 8.19 万元。2018 年末和 2019 年末,公司应
收质保金在应收账款中列报,因此合同资产金额为 0 元。

     (7)其他流动资产

     报告期各期末,公司其他流动资产明细如下:

                                                                                              单位:万元
                                   2021 年               2020 年              2019 年           2018 年
           项目
                                  9 月 30 日           12 月 31 日          12 月 31 日       12 月 31 日
增值税留抵及待抵扣税额                6,816.60               1,115.52               747.72        1,598.04

           其他                                  -              4.90                                      -

           合计                       6,816.60               1,120.42               747.72        1,598.04


     2021 年 9 月末,公司其他流动资产大幅上升,系增值税留抵税额增加所致。

     3、非流动资产构成及其变化分析

     报告期各期末公司非流动资产构成情况如下:

                                                                                              单位:万元




                                                 1-1-270
        拓荆科技股份有限公司                                                                       招股说明书


                        2021 年                     2020 年                  2019 年                  2018 年
    项目               9 月 30 日                 12 月 31 日              12 月 31 日              12 月 31 日
                   金额           占比         金额          占比        金额          占比       金额          占比

 长期应收款                -      0.00%               -       0.00%               -     0.00%        6.00       0.03%

  固定资产       15,839.98        51.35%    16,469.53        80.33%    17,783.09       81.74%   19,101.81     82.27%

  在建工程       10,039.34        32.55%              -       0.00%               -     0.00%            -      0.00%

 使用权资产           167.88      0.54%               -       0.00%               -     0.00%            -      0.00%

  无形资产        3,676.34        11.92%     3,819.84        18.63%     3,972.73       18.26%    4,084.66     17.59%
 递延所得税
                      140.30      0.45%               -       0.00%               -     0.00%            -      0.00%
     资产
 其他非流动
                      980.94      3.18%        213.24         1.04%               -     0.00%      26.40        0.11%
     资产
 非流动资产
                 30,844.78      100.00%     20,502.61      100.00%     21,755.82      100.00%   23,218.87    100.00%
   合计

             报告期各期末,公司非流动资产主要为固定资产、在建工程和无形资产,上
        述明细合计金额占非流动资产的比例分别为 99.86%、100%、98.96%和 95.82%。

             报告期各年末,公司非流动资产逐年下降,系固定资产和无形资产的折旧摊
        销导致。2021 年 9 月末,公司非流动资产较 2020 年末增加 10,342.18 万元,主
        要系在建工程增加 10,039.34 万元。

             报告期内公司非流动资产主要项目具体分析如下:

             (1)固定资产

             ①固定资产构成

             报告期各期末,公司固定资产构成情况如下:

                                                                                                  单位:万元
                   2021 年                      2020 年                     2019 年                   2018 年
 项目             9 月 30 日                  12 月 31 日                 12 月 31 日               12 月 31 日
               金额            占比        金额            占比        金额           占比        金额          占比
房屋及
              10,922.33        68.95%    11,409.31         69.28%     12,082.34        67.94%   12,751.62       66.76%
建筑物
通用设备        294.33         1.86%        210.21          1.28%       158.44         0.89%       195.67         1.02%

专用设备       4,570.79        28.86%      4,838.89        29.38%      5,519.09        31.04%    6,119.24       32.03%

运输工具          52.53        0.33%         11.12          0.07%        23.22         0.13%        35.28         0.18%



                                                          1-1-271
       拓荆科技股份有限公司                                                                    招股说明书


                   2021 年                     2020 年                   2019 年                   2018 年
项目              9 月 30 日                 12 月 31 日               12 月 31 日               12 月 31 日
              金额         占比            金额         占比        金额           占比       金额            占比

合计        15,839.98    100.00%      16,469.53        100.00%     17,783.09      100.00%   19,101.81     100.00%


            报告期各期末,公司固定资产主要为房屋及建筑物、专用设备,上述两项明
       细合计占固定资产的比例分别为 98.79%、98.98%、98.66%和 97.81%。

            ②固定资产原值及折旧计提情况

            a、公司各项固定资产原值及折旧情况:

                                                                                               单位:万元
                         2021 年                    2020 年             2019 年               2018 年
         项目
                        9 月 30 日                12 月 31 日         12 月 31 日           12 月 31 日
                                                           一、账面原值
       房屋及建
                               14,107.99               14,090.19           14,090.19            14,090.19
         筑物
       通用设备                   789.93                  654.40                535.22               466.66

       专用设备                 7,400.03                7,158.61               7,200.19          7,083.50

       运输工具                   142.03                   90.80                106.32               106.32

         总计                  22,439.98               21,993.99           21,931.91            21,746.67

                                                           二、累计折旧
       房屋及建
                                3,185.65                2,680.88               2,007.85          1,338.57
         筑物
       通用设备                   495.60                  444.18                376.78               270.99

       专用设备                 2,829.25                2,319.71               1,681.10              964.26

       运输工具                    89.50                   79.68                 83.10                71.04

         总计                   6,600.00                5,524.46               4,148.83          2,644.85

                                                           三、账面价值
       房屋及建
                               10,922.33               11,409.31           12,082.34            12,751.62
         筑物
       通用设备                   294.33                  210.21                158.44               195.67

       专用设备                 4,570.79                4,838.89               5,519.09          6,119.24

       运输工具                    52.53                   11.12                 23.22                35.28

         总计                  15,839.98               16,469.53           17,783.09            19,101.81



                                                       1-1-272
拓荆科技股份有限公司                                              招股说明书



     公司产品主要根据客户的差异化需求和采购意向,进行定制化设计及生产制
造。因此,公司产能具有一定弹性,能够根据订单情况灵活地安排人工、原材料
采购等完成生产任务。报告期内,公司的房屋建筑物及专用设备,尚能满足公司
报告期内的产品生产需求。因此,报告期内,公司固定资产原值基本保持稳定水
平,上升幅度较小。

     2021 年 1-9 月,随着公司订单规模的增长,公司的产能需求也相应增加,因
此公司投资构建了新的厂房和大型专用设备。截至报告期末,新厂房和设备尚未
达到预定可使用状态,相关成本在在建工程中核算,共计 10,039.34 万元。

     b、可比公司折旧政策对比

     公司固定资产的折旧年限与同行业可比公司相比不存在重大差异,具体如
下:

                                                    折旧年限
     公司                 类别           折旧方法              残值率(%)
                                                      (年)
                       房屋及建筑物    年限平均法      20           5

                        机器设备       年限平均法     3-7          0-5

  中微公司              电子设备       年限平均法     3-10          5

                        办公设备       年限平均法      3            0

                        运输设备       年限平均法      5            5

                       房屋及建筑物    年限平均法      20           5

                        机器设备       年限平均法     5-10          5

  芯 源 微              电子设备       年限平均法      5            5

                        办公设备       年限平均法      5            5

                        运输设备       年限平均法      10           5

                        机器设备       年限平均法     5-10          5

                  计算机及电子设备     年限平均法     3-5           5
  盛美上海
                        办公设备       年限平均法      5            5

                        运输设备       年限平均法     4-5           5

                        机器设备       年限平均法      10           3
  华海清科
                        电子设备       年限平均法      3            3


                                      1-1-273
拓荆科技股份有限公司                                                               招股说明书


                                                                折旧年限
     公司                     类别             折旧方法                        残值率(%)
                                                                  (年)
                         办公设备             年限平均法             5               3

                       器具工具家具           年限平均法             5               3

                         运输设备             年限平均法             4               3

                       房屋及建筑物           年限平均法            20               5

                         通用设备             年限平均法            3-5              5
  拓荆科技
                         专用设备             年限平均法           5-10              5

                         运输工具             年限平均法             4               5


     c、固定资产的减值情况

     报告期各期末,公司固定资产不存在减值迹象。

     (2)在建工程

     2018 至 2020 年末,公司无在建工程余额。2021 年 9 月末,公司新增在建工
程 10,039.34 万元,具体情况如下:

                                                                                  单位:万元
                       项目                                  2021 年 9 月 30 日

        临港园区三期标准厂房项目                                  6,187.87

        待安装测量与分析仪器项目                                  3,624.72

                       其他                                        226.75

                       合计                                       10,039.34


     (3)无形资产

     报告期各期末,公司无形资产账面价值构成情况如下:

                                                                                 单位:万元
                          2021 年            2020 年           2019 年           2018 年
      项目
                         9 月 30 日        12 月 31 日       12 月 31 日       12 月 31 日
      原值                      5,431.97        5,388.43          5,281.97           5,143.03

 减:累计摊销                   1,755.63        1,568.59          1,309.24           1,058.37
减:无形资产减值
                                       -                 -                 -                -
      准备
      合计                      3,676.34        3,819.84          3,972.73           4,084.66


                                            1-1-274
          拓荆科技股份有限公司                                                                             招股说明书



               报告期各期末,公司无形资产均按直线法进行摊销,未发生减值迹象。

               报告期各期末,公司无形资产原值情况如下:

                                                                                                          单位:万元
                       2021 年                         2020 年                        2019 年                     2018 年
  项目                9 月 30 日                     12 月 31 日                    12 月 31 日                 12 月 31 日
                  金额         占比             金额              占比           金额           占比         金额        占比

土地使用权       3,402.84          62.64%      3,402.84           63.15%         3,402.84       64.42%     3,402.84      66.16%
专利及专有
                 1,548.94          28.52%      1,548.94           28.75%         1,548.94       29.33%     1,410.00      27.42%
    技术
   软件           480.19           8.84%            436.65         8.10%          330.19          6.25%      330.19       6.42%

  合计           5,431.97     100.00%          5,388.43          100.00%         5,281.97      100.00%     5,143.03     100.00%


               报告期各期末,公司无形资产主要为土地使用权、专利及专有技术,上述两
          项明细合计占无形资产原值的比例分别为 93.58%、93.75%、91.90%和 91.16%。
          报告期各期末,公司无形资产原值保持平稳,未发生重大变动。

               报告期各期末,公司无形资产累计摊销情况如下:

                                                                                                          单位:万元
                               2021 年                         2020 年                    2019 年                 2018 年
      项目                    9 月 30 日                     12 月 31 日                12 月 31 日             12 月 31 日
                            金额        占比           金额             占比       金额         占比         金额        占比

   土地使用权               460.74      26.24%          409.52       26.11%         341.23      26.06%       272.94      25.79%

 专利及专有技术          1,139.42       64.90%        1,046.58       66.72%         891.69      68.11%       742.58      70.16%

      软件                  155.47          8.86%       112.49           7.17%       76.32        5.83%       42.84       4.05%

      合计               1,755.63     100.00%         1,568.59      100.00%       1,309.24     100.00%     1,058.37     100.00%


               报告期内,公司不存在开发支出资本化的情形。

                 (二)负债状况分析

                 1、负债结构分析

               报告期各期末,公司流动负债和非流动负债金额及占总负债的比例情况如
          下:




                                                              1-1-275
      拓荆科技股份有限公司                                                               招股说明书


                                                                                        单位:万元
               2021 年 9 月 30 日      2020 年 12 月 31 日      2019 年 12 月 31 日    2018 年 12 月 31 日
   项目
                金额          占比       金额          占比       金额        占比       金额         占比

 流动负债      91,254.93      78.33%   39,354.60      56.91%    20,111.21     77.40%   17,580.20      75.68%

非流动负债     25,247.45      21.67%   29,802.43      43.09%     5,873.52     22.60%    5,649.02      24.32%

 负债合计     116,502.38     100.00%   69,157.03     100.00%    25,984.73    100.00%   23,229.21    100.00%


             报告期各期末,公司以流动负债为主,流动负债占总负债的比重分别为
      75.68%、77.40%、56.91%和 78.33%。

             2、流动负债构成分析

             报告期各期末,公司流动负债具体构成如下:

                                                                                        单位:万元
               2021 年 9 月 30 日      2020 年 12 月 31 日       2019 年 12 月 31 日   2018 年 12 月 31 日
  项目
               金额           占比       金额          占比       金额        占比       金额         占比

 短期借款              -       0.00%            -       0.00%            -     0.00%    3,015.67      17.15%

 应付票据     12,086.67       13.24%    9,158.62      23.27%     3,622.92     18.01%    2,917.57      16.60%

 应付账款     26,440.52       28.97%   12,242.51       31.11%    8,239.36     40.97%    6,093.08      34.66%

 预收款项              -       0.00%            -       0.00%    5,600.21     27.85%    4,684.20      26.64%

 合同负债     44,514.16       48.78%   13,425.71       34.11%            -     0.00%            -     0.00%
 应付职工
               1,873.61        2.05%    2,242.46        5.70%    1,108.20      5.51%     671.68       3.82%
   薪酬
 应交税费        487.45        0.53%     505.88         1.29%      219.88      1.09%     180.13       1.02%

其他应付款         4.78        0.01%      34.09         0.09%    1,320.64      6.57%      17.88       0.10%
一年内到期
的非流动负        61.04        0.07%            -       0.00%            -     0.00%            -     0.00%
      债
  其他流动
               5,786.69        6.34%    1,745.34        4.43%            -     0.00%            -     0.00%
    负债
  合计        91,254.93      100.00%   39,354.60     100.00%    20,111.21    100.00%   17,580.20    100.00%


             报告期各期末,公司流动负债逐期上升,主要系公司采购及订单规模的扩大,
      使得公司应付账款、预收账款或合同负债规模扩大。

             报告期各期末,公司主要流动负债项目的变化情况分析如下:


                                                    1-1-276
      拓荆科技股份有限公司                                                                   招股说明书



             (1)短期借款

             2018 年末,公司存在短期信用借款 3,015.67 万元,占总负债的 12.98%。其
      余报告期各期末均无短期借款。

             (2)应付票据

             报告期各期末,公司应付票据情况如下:

                                                                                            单位:万元
                                     2021 年          2020 年               2019 年          2018 年
               项目
                                    9 月 30 日      12 月 31 日           12 月 31 日      12 月 31 日
          银行承兑汇票                 12,086.67          9,158.62             3,622.92        2,917.57

          占总负债比重                   10.37%              13.24%            13.94%           12.56%
      其他货币资金:银行承
                                        3,626.00          2,793.63             1,092.79        1,154.55
          兑汇票保证金
      银行承兑汇票保证金
                                         30.00%              30.50%            30.16%           39.57%
        占应付票据的比重

             报告期各期末,公司的应付票据均为银行承兑汇票,应付票据余额逐年增长,
      系公司采购量增长所致。

             2018 年末,公司银行承兑汇票保证金率主要为 40%。2019 年末、2020 年末
      和 2021 年 9 月末,公司银行承兑汇票保证金率主要为 30%,与公司报告期各期
      末其他货币资金中银行承兑汇票保证金余额占应付票据的比例相匹配。

             (3)应付账款

             报告期各期末,公司应付账款情况如下:

                                                                                            单位:万元
                   2021 年                    2020年                     2019年                  2018年
  项目             9月30日                   12月31日                   12月31日                12月31日
               金额          占比        金额        占比          金额         占比        金额          占比
应付商品、
              25,024.13      94.64%    12,164.91     99.37%       7,879.86      95.64%     4,652.05       76.35%
  劳务款
应付工程
               1,416.39      5.36%         77.60        0.63%         359.50       4.36%   1,441.04       23.65%
  设备款
  合计        26,440.52   100.00%      12,242.51   100.00%        8,239.36     100.00%     6,093.08   100.00%


             报告期各期末,公司应付账款主要为应付商品、劳务款,其规模逐期上升,
      主要系公司业务及采购规模逐渐上升所致。

                                                   1-1-277
           拓荆科技股份有限公司                                                                      招股说明书



                其中,公司 2019 年末应付商品、劳务款金额较 2018 年末上升 69.38%,而
           采购金额仅从 2018 年度的 19,110.23 万元上升到 2019 年度的 20,194.48 万元,且
           2019 年末原材料规模较 2018 年末有所下降。主要原因为公司于 2018 年中采购
           了较多原材料进行备货,相关款项已于 2018 年度结清,而相关原材料于 2018
           年末结存。

                2018 年末,公司应付工程设备款金额及占比相对较高,主要系公司该年末
           存在应付中国建筑第五工程局有限公司的工程款 1,174.83 万元。

                报告期各期末,公司应付账款账龄分布如下:

                                                                                                    单位:万元
               2021 年 9 月 30 日           2020 年 12 月 31 日         2019 年 12 月 31 日        2018 年 12 月 31 日
 账龄
               金额          占比           金额         占比            金额         占比          金额           占比

1 年以内     26,341.40       99.63%     12,143.78        99.19%         7,769.17      94.29%        4,578.23        75.14%

 1-2 年              1.32     0.00%             0.52      0.00%            35.40       0.43%          277.73         4.56%

 2-3 年              2.80     0.01%             3.21      0.03%            96.71       1.17%        1,236.44        20.29%

3 年以上           95.00      0.36%            95.00      0.78%           338.08       4.10%            0.68         0.01%

 合计        26,440.52      100.00%     12,242.51       100.00%         8,239.36     100.00%        6,093.08       100.00%


                报告期各期末,公司账龄在 2 年以上的应付账款,主要为公司应付中国建筑
           第五工程局有限公司的工程款中,未结算的尾款和质保金。具体情况如下:

                                                                                                    单位:万元
            账龄       2021 年 9 月 30 日     2020 年 12 月 31 日     2019 年 12 月 31 日    2018 年 12 月 31 日

            2-3 年                      -                         -                     -              1,174.83

           3 年以上                 67.48                  67.48                   337.40                      -


                报告期各期末,公司应付中国建筑第五工程局有限公司的余额均未到合同约
           定的结算期。公司按照合同约定,逐年与中国建筑第五工程局有限公司结算尾款
           和质保金,公司与中国建筑第五工程局有限公司不存在纠纷。

                (4)预收款项及合同负债

                公司预收账款和合同负债,为预收客户的薄膜沉积设备销售款项。报告期各
           期末,公司预收账款与合同负债的总额分别为 4,684.20 万元、5,600.21 万元、

                                                        1-1-278
拓荆科技股份有限公司                                                         招股说明书



13,425.71 万元和 44,514.16 万元,随着公司订单规模的增长而增长。

     报告期各期末,公司预收账款分别为 4,684.20 万元、5,600.21 万元、0.00 万
元和 0.00 万元。公司自 2020 年 1 月 1 日起执行新收入准则,使得公司预收客户
的款项中不含增值税的部分在合同负债中列报,增值税部分在其他流动负债中列
报。因此 2020 年开始,公司预收款项为 0 元。2020 年末和 2021 年 9 月末,公
司合同负债分别为 13,425.71 万元和 44,514.16 万元。

     (5)应付职工薪酬

     公司应付职工薪酬主要为公司计提的工资、奖金、津贴和补贴等。报告期各
期末,公司应付职工薪酬金额分别为 671.68 万元、1,108.20 万元、2,242.46 万元
和 1,873.61 万元。

     报告期各年末,公司应付职工薪酬整体呈上升趋势,系公司销售业绩增长,
以及公司人员规模的扩大,使得公司的薪酬总额及年末计提的年终奖金增加。
2021 年 9 月末,公司应付职工薪酬较 2020 年末有所下降,主要系 2020 年末的
应付职工薪酬中包括了计提的年终奖金。

     (6)应交税费

     报告期各期末,公司应交税费情况如下:

                                                                            单位:万元
                        2021 年          2020 年           2019 年           2018 年
      项目
                       9 月 30 日      12 月 31 日       12 月 31 日       12 月 31 日
 增值税及附加                 252.44                 -                 -             -

  企业所得税                  173.62                 -                 -             -
 代扣代缴个人
                               33.01          482.25            194.39          159.93
   所得税
     房产税                    11.79             11.82           11.74           11.79

  土地使用税                    5.21              5.21             5.21           5.21

     印花税                    11.39              6.59             8.54           3.19

      合计                    487.45          505.88            219.88          180.13


     报告期各期末,应交税费为增值税及附加、企业所得税、代扣代缴个人所得
税、房产税、土地使用税和印花税。报告期各年末,公司应交税费逐年上升,主

                                       1-1-279
          拓荆科技股份有限公司                                                             招股说明书



          要受代扣代缴个人所得税的影响,公司代扣代缴的个人所得税波动的趋势与应付
          职工薪酬波动的趋势相匹配。

               (7)其他应付款

               报告期各期末,公司其他应付款分别为 17.88 万元、1,320.64 万元、34.09
          万元和 4.78 万元。2019 年末,公司其他应付款金额较大,系公司在 2019 年增资
          扩股时,部分投资人支付的投资保证金,共计人民币 1,258.00 万元。2020 年,
          公司增资扩股完成,除润扬嘉禾因迟缴投资款被公司扣除部分保证金外,公司已
          将其余投资保证金退回给投资人。

               (8)其他流动负债

               2020 年末和 2021 年 9 月末,公司其他流动负债分别为 1,745.34 万元和
          5,786.69 万元,是公司预收客户设备销售款中的增值税部分金额。2020 年末和
          2021 年 9 月末,公司其他流动负债金额为当期期末合同负债金额的 13%,该比
          例与对应期间适用的增值税率相匹配。

               3、非流动负债构成分析

               报告期各期末,公司非流动负债具体构成如下:

                                                                                          单位:万元
                  2021 年 9 月 30 日       2020 年 12 月 31 日      2019 年 12 月 31 日   2018 年 12 月 31 日
   项目
                   金额           占比       金额          占比      金额        占比      金额          占比

长期应付款                -        0.00%            -       0.00%           -     0.00%      35.10        0.62%

 租赁负债             89.50        0.35%            -       0.00%           -     0.00%           -       0.00%

 预计负债          3,534.76       14.00%    2,761.96        9.27%   1,250.49     21.29%     384.47        6.81%
递延所得税
                       0.08        0.00%            -       0.00%           -     0.00%           -       0.00%
  负债
 递延收益         21,623.11       85.64%   27,040.47       90.73%   4,623.03     78.71%   5,229.44       92.57%
非流动负债
                 25,247.45       100.00%   29,802.43      100.00%   5,873.52    100.00%   5,649.02      100.00%
    合计

               报告期各期末,公司非流动负债主要由预计负债和递延收益组成。2020 年
          末,公司非流动负债较 2019 年末上升 407.40%,增幅较大,系公司于 2020 年度
          收到较多的政府补助款,使得递延收益大幅上升。

                                                        1-1-280
拓荆科技股份有限公司                                                                         招股说明书



     (1)预计负债

     报告期各期末,公司预计负债是公司计提的产品质保期内预计支出的负债。
报告期内,公司根据当期主营业务收入的规模进行预计负债计提并计入销售费
用,2018-2020 年度,由于公司主营业务收入规模逐年上升,预计负债也逐年上
升。

     (2)递延收益

     报告期各期末,公司递延收益明细如下:

                                                                                          单位:万元
                        2021 年                2020 年             2019 年                2018 年
       项目
                       9 月 30 日            12 月 31 日         12 月 31 日            12 月 31 日
   政府补助               21,623.11              27,040.47             4,623.03                5,262.44

未确认融资收益                      -                      -                    -                -33.00

       合计                21,623.11             27,040.47             4,623.03                5,229.44


     其中,政府补助形成的递延收益,具体明细如下:

                                                                                          单位:万元
                               2021 年           2020 年         2019 年         2018 年
              项目                                                                               类型
                              9 月 30 日       12 月 31 日     12 月 31 日     12 月 31 日
国家集成电路装备项目 A
                                                                                               与收益
  (介质薄膜先进工艺相          6,041.18         10,734.42               -                 -
                                                                                               相关
          关)
海宁市经开区管委会高端
                                                                                               与收益
集成电路先进工艺装备研          4,503.04          4,788.15               -                 -
                                                                                               相关
    发与产业化项目
国家科技重大专项课题 B                                                                         与收益
                                3,413.66          4,906.21               -                 -
(先进工艺 PECVD 相关)                                                                        相关
国家科技重大专项课题 B                                                                         与资产
                                    450.00          450.00               -                 -
(先进工艺 PECVD 相关)                                                                        相关
国家科技重大专项课题 A                                                                         与收益
                                3,373.05          2,135.08               -                 -
      (ALD 相关)                                                                             相关
专项实施管理办公室 02 科                                                                       与资产
                                1,956.64          2,174.77        2,468.22          2,768.90
    技重大专项项目                                                                             相关
                                                                                               与资产
  沈阳市东北振兴项目            1,055.11          1,171.88        1,327.59          1,483.29
                                                                                               相关
                                                                                               与资产
 新兴产业发展专项资金               403.89          447.16         504.76            561.32
                                                                                               相关
                                                                                               与收益
国家集成电路装备项目 B              192.83                 -             -                 -
                                                                                               相关
                                                                                               与资产
  沈阳市科技计划项目                 90.56          112.25         141.51            204.28
                                                                                               相关

                                              1-1-281
拓荆科技股份有限公司                                                                   招股说明书


                           2021 年          2020 年          2019 年       2018 年
          项目                                                                             类型
                          9 月 30 日      12 月 31 日      12 月 31 日   12 月 31 日
辽宁省“兴辽英才计划”                                                                   与收益
                                62.50           70.82                -               -
          项目                                                                           相关
半导体薄膜项目产业化扶                                                                   与资产
                                31.62           36.03           41.94           52.04
        持基金                                                                           相关
中央引导地方科技发展专                                                                   与收益
                                20.00                  -             -               -
        项资金                                                                           相关
                                                                                         与收益
      人才专项补贴              18.53                  -             -               -
                                                                                         相关
沈阳市科技创新“双百工                                                                   与资产
                                 9.21           12.06           17.19           21.13
      程”项目                                                                           相关
                                                                                         与资产
沈阳市专利技术补助资金           1.29               1.64        54.55           62.33
                                                                                         相关
                                                                                         与收益
沈阳市专利技术补助资金                -                -        64.68           99.58
                                                                                         相关
沈阳市科技创新“双百工                                                                   与收益
                                      -                -             -           9.56
      程”项目                                                                           相关
                                                                                         与收益
          其他                        -                -          2.58               -
                                                                                         相关
          小计              21,623.11       27,040.47         4,623.03        5,262.44


十三、偿债能力、流动性、周转能力及持续经营能力分析

     (一)偿债能力分析

     1、最近一期末主要债务情况

     截至 2021 年 9 月 30 日,公司不存在银行借款、股东或关联方借款等融资性
负债。

     2、主要偿债指标情况

     报告期各期末,公司主要偿债指标情况如下:

                          2021 年             2020 年             2019 年            2018 年
         项目
                         9 月 30 日         12 月 31 日         12 月 31 日        12 月 31 日
   流动比率(倍)                2.23                  4.09              4.26                3.95

   速动比率(倍)                1.16                  2.79              2.52                2.12

 资产负债率(合并)           49.67%                38.12%           24.19%               25.07%


     报告期各年末,公司流动比率和速动比率总体稳中有升,短期偿债能力保持
在良好水平。2021 年 9 月末,公司流动比率和速动比率较 2020 年末有所下降,


                                          1-1-282
拓荆科技股份有限公司                                                      招股说明书



主要由于公司订单规模的增长,形成了较大的合同负债。报告期内,公司不存在
退货情况,合同负债最终将在机台验收时确认收入,对公司短期偿债能力不存在
重大不利影响。

     2019 年末较 2018 年末,以及 2021 年 9 月末较 2020 年末,公司资产负债率
水平基本保持平稳。2020 年末,公司资产负债率较 2019 年末上升幅度较大,主
要系因公司于 2020 年度收到了客户较大金额的设备预收款,因而形成了较大的
合同负债。此外,公司于 2020 年度获得大量政府补助,形成了较大的递延收益。
综合以上因素,公司 2020 年末总负债和总资产规模均大幅上升,使得资产负债
率上升,但并不影响公司的长期偿债能力。

     3、偿债能力对比情况

     报告期各期末,公司与可比公司的偿债能力指标对比如下:

                        2021 年           2020 年          2019 年        2018 年
项目         名称
                       9 月 30 日       12 月 31 日      12 月 31 日    12 月 31 日
           中微公司            7.65               3.41           4.29           2.12

           芯 源 微            1.68               2.58           5.84           2.35

流动       盛美上海         未披露                2.39           2.93           1.34
比率       华海清科         未披露                1.76           1.30           1.79

            平均值             4.66               2.54           3.59           1.90

             公司              2.23               4.09           4.26           3.95

           中微公司            6.69               2.54           3.08           1.19

           芯 源 微            0.72               1.58           4.69           1.19

速动       盛美上海         未披露                1.36           2.18           0.71
比率       华海清科         未披露                0.94           0.54           0.75

            平均值             3.71               1.61           2.62           0.96

             公司              1.16               2.79           2.52           2.12

           中微公司         12.89%              24.68%        21.43%         40.09%

资产       芯 源 微         51.43%              34.79%        18.93%         42.09%
负债
  率       盛美上海         未披露              43.12%        36.55%         77.19%

           华海清科         未披露              58.98%        80.10%        102.95%


                                      1-1-283
拓荆科技股份有限公司                                                      招股说明书


                         2021 年          2020 年          2019 年        2018 年
项目           名称
                        9 月 30 日      12 月 31 日      12 月 31 日    12 月 31 日
            平均值           32.16%             40.39%        39.25%         65.58%

               公司          49.67%             38.12%        24.19%         25.07%
数据来源:可比公司招股说明书、定期报告。

     报告期内,公司流动比率、速动比率和资产负债率总体处在同行业可比公司
相应指标范围内。

     (二)股利分配情况

     报告期内,公司未发生股利分配。

     (三)现金流量情况分析

     报告期内,公司现金流量的具体情况,参见本节“一、报告期内财务报表”
之“(三)合并现金流量表。”

     1、经营活动的现金流量

     (1)销售商品、提供劳务收到的现金流量分析

     报告期内,公司销售商品、提供劳务收到的现金与营业收入的对比情况如下:

                       2021 年
        项目                           2020 年度         2019 年度      2018 年度
                        1-9 月
销售商品、提供劳务
                          71,462.90        64,036.36        21,876.12      11,450.60
收到的现金(万元)
 营业收入(万元)         37,389.57        43,562.77        25,125.15       7,064.40
销售商品、提供劳务
                           191.13%         147.00%            87.07%        162.09%
收到的现金/营业收入

     报告期内,公司销售商品、提供劳务收到的现金同期营业收入的比例均超过
了 85%。因此,报告期内公司主要产品销售回款情况良好,营业收入基本可以及
时、有效地转化为公司的经营性现金流。

     (2)经营活动产生的现金流量净额分析

     报告期内,公司经营活动产生的现金流量净额分别为-12,801.52 万元、
-2,175.61 万元、30,891.27 万元和-7,597.27 万元,2018-2020 年度逐年提升。

     2018 年度和 2019 年度,公司经营活动产生的现金流量净额为负的主要原因

                                      1-1-284
拓荆科技股份有限公司                                                        招股说明书



为,公司为进一步研发新技术、新工艺并扩大销售规模,所支出的原材料采购金
额和职工薪酬较大。2020 年度,公司销售规模上升,销售回款情况良好,同时
收到了较大的政府补助款项,因此经营活动产生的现金流量净额由负转正。2021
年 1-9 月,公司经营活动产生的现金流量净额再次转负的原因系公司订单规模大
幅增长,使得原材料需求上升,因此购买商品、接受劳务支付的现金增长较大。

     报告期内,经营活动产生的现金流量净额与净利润之间的差异如下表所示:

                                                                           单位:万元
                                   2021 年
                项目                             2020 年度    2019 年度     2018 年度
                                    1-9 月
   经营活动产生的现金流量净额       -7,597.27    30,891.27     -2,175.61    -12,801.52

               净利润                5,704.87     -1,169.99    -1,936.64    -10,322.29

                差额               -13,302.14    32,061.26      -238.96      -2,479.23

        其中:资产减值损失             17.91        426.41       111.36        288.26
固定资产折旧、油气资产折耗、生产
                                     1,102.12      1,454.98     1,506.53      1,480.66
        性生物资产折旧
          使用权资产折旧               16.53

            无形资产摊销              187.04        259.35       250.87        242.19
处置固定资产、无形资产和其他长期
                                        1.30          0.48             -          0.74
资产的损失(收益以“-”号填列)
固定资产报废损失(收益以“-”号
                                             -        1.48         2.06              -
            填列)
 财务费用(收益以“-”号填列)        -36.03       119.38        35.07        107.55
递延所得税资产减少(增加以“-”
                                     -140.22
            号填列)
存货的减少(增加以“-”号填列)   -46,802.84    -16,740.45    -2,779.82    -10,590.24
  经营性应收项目的减少(增加以
                                    -7,478.68      2,802.39    -5,024.08      2,232.78
        “-”号填列)
  经营性应付项目的增加(减少以
                                   39,757.97     43,044.33      5,460.78      3,565.87
        “-”号填列)
                其他                   72.76        692.91       198.25        192.96

                合计               -13,302.14    32,061.26      -238.96      -2,479.23


     2020 年度,公司净利润与经营活动产生的现金流量净额的差异为 32,061.26
万元,差异较大。原因系公司 2020 年度的采购规模、订单规模和政府补助规模
上升较大,使得应付账款、合同负债及递延收益等经营性应付项目的增加较大,
从而扩大了净利润与经营活动产生的现金流量净额的差异。

                                   1-1-285
     拓荆科技股份有限公司                                                      招股说明书



          2、投资活动的现金流量

          投资活动的现金流量具体情况,参见本节“一、报告期内财务报表”之“(三)
     合并现金流量表。”

          报告期内,公司投资活动产生的现金流量净额分别为-270.97 万元、-1,437.47
     万元、-584.23 万元和-10,427.23 万元,主要系构建固定资产、无形资产和其他长
     期资产支付的现金。2021 年 1-9 月,公司投资活动现金流出较大,系公司新投资
     了上海闵联临港园区三期标准厂房项目等在建工程。

          3、筹资活动的现金流量

          筹资活动的现金流量具体情况,参见本节“一、报告期内财务报表”之“(三)
     合并现金流量表。”

          报告期内,公司筹资活动产生的现金流量净额分别为 -4,528.72 万元、
     11,917.78 万元、30,244.99 万元和-359.56 万元。主要系股东增资、银行借款、股
     东投资保证金等。

          4、最近一期现金流量与上年同期的对比分析

          2021 年 1-9 月,公司现金流量与上年同期对比如下:

                                                                            单位:万元/%
             项目                2021 年 1-9 月      2020 年 1-9 月     变动金额      变动比率

销售商品、提供劳务收到的现金          71,462.90             22,415.73     49,047.17    218.81%

购买商品、接受劳务支付的现金          68,668.58             28,776.28     39,892.30    138.63%

经营活动产生的现金流量净额             -7,597.27          -11,078.32       3,481.05

投资活动产生的现金流量净额            -10,427.23              -358.41    -10,068.82

筹资活动产生的现金流量净额              -359.56             30,194.99    -30,554.55
     注 1:负数无法计算变动比率。
     注 2:2020 年 1-9 月数据经天健会计师审阅,未经审计。

          2021 年 1-9 月,随着公司业务规模的增长,销售商品、提供劳务收到的现金、
     购买商品、接受劳务支付的现金较上年同期均大幅上升,经营活动现金流量净额
     也有所转好。


                                           1-1-286
拓荆科技股份有限公司                                              招股说明书



     2021 年 1-9 月,公司新投资了上海闵联临港园区三期标准厂房项目等在建工
程,因此投资活动现金流量净流出大幅增长。

     2021 年 1-9 月,公司筹资活动现金流量净额较上年同期大幅减少,系上年同
期存在股东增资款所致。

     (四)资本性支出

     1、报告期内资本性支出情况

     报告期内,公司资本性支出分别为 556.57 万元、1,437.47 万元、631.02 万元
和 10,427.34 万元,主要是厂房和专用设备固定资产投资,以扩大公司经营规模
并为新技术、新产品的研发提供保障和支持。

     2、未来可预见的重大资本性支出计划

     截至本招股说明书签署日,公司未来可预见的重大资本性支出计划主要为本
次募集资金投资项目的投资支出。

     (五)流动性情况分析

     1、长短期债务配置期限

     报告期各期末,公司负债以流动负债为主,流动负债占比总体呈下降趋势。
截至报告期末,公司不存在银行借款。公司流动负债主要为业务开展中形成的经
营性负债,总体流动性风险较小。

     2、影响现金流量的重要事件

     报告期内,公司现金流量的具体情况,参见本节“一、报告期内财务报表”
之“(三)合并现金流量表。”

     报告期内,公司现金及现金等价物净增加额共计 43,266.17 万元,现金流量
能够满足日常经营需求的需要。影响公司现金流量的重要因素参见本节“十二、
财务状况分析”之“(一)资产状况分析”之“2、流动资产构成及其变化分析”
之“(1)货币资金”。公司流动性风险较小。

     3、影响现金流量的重要承诺


                                  1-1-287
拓荆科技股份有限公司                                                                     招股说明书



     截至本招股说明书签署日,公司不存在需要披露的重大承诺事项。

     4、公司的风险管理政策和应对流动性风险的具体措施

     报告期内,公司关注应收账款的回款速度并提高存货管理效率,以提高资金
回笼速度,减少资金占用,降低流动性风险。

     未来,公司将通过公开发行股票、进一步提高应收账款回款速度等方式降低
财务杠杆、优化资本结构和改善经营活动现金流,进一步降低公司的流动性风险。

     (六)资产周转能力分析

     1、资产周转能力情况

     报告期内,公司资产周转指标如下:

          项目             2021 年 1-9 月       2020 年度             2019 年度        2018 年度

应收账款周转率(次)                 3.78                    4.17               2.51           1.22

   存货周转率(次)                  0.27                    0.65               0.50           0.17


     报告期内,公司应收账款周转率和存货周转率总体呈上升趋势,呈良好发展
态势。2021 年 1-9 月,公司存货周转率较 2020 年度下降较多,主要系公司订单
规模增长较快,使得发出商品金额增幅较大。

     2、同行业比较情况

     2018-2020 年,公司与同行业可比公司应收账款周转率和存货周转率对比情
况如下:

     项目              公司简称         2020 年度                   2019 年度          2018 年度

                       中微公司                       7.22                  4.82               3.40

                       芯 源 微                       4.33                  3.63               5.02

                       盛美上海                       4.19                  3.80               3.91
应收账款周转率
                       华海清科                       3.85                  5.37               1.40

                       平均值                         4.90                  4.41               3.43

                        公司                          4.17                  2.51               1.22

  存货周转率           中微公司                       1.20                  1.01               0.94


                                            1-1-288
拓荆科技股份有限公司                                                   招股说明书



     项目              公司简称     2020 年度         2019 年度      2018 年度

                       芯 源 微                0.66           0.72           0.93

                       盛美上海                1.21           1.44           1.51

                       华海清科                0.63           0.72           0.24

                       平均值                  0.93           0.97           0.91

                        公司                   0.65           0.50           0.17
数据来源:可比公司招股说明书、定期报告。2021 年 1-9 月,无公开披露的可比公司数据。

     报告期各年度,公司的应收账款周转率和存货周转率低于同行业可比公司平
均水平。

     半导体设备行业具有收入集中在下半年或第四季度,进而更容易形成年末应
收账款的现象。而报告期内,公司正处于收入快速增长初期,收入规模较小,使
得该现象相比同行业可比公司更加明显,因此公司的应收账款周转率相对较低。

     另一方面,由于薄膜是芯片结构的功能材料层,在芯片完成制造、封测等工
序后会留存在芯片中,薄膜的技术参数直接影响芯片性能。生产中不仅需要在成
膜后检测各项性能指标,还需要在完成晶圆生产流程及芯片封装后,对最终芯片
产品进行可靠性和生命周期测试。因此,公司的薄膜沉积设备在新客户生产线上
所需要的验证时间比同行业可比公司更长,存货周转率较低。

     随着公司产品及工艺的不断成熟,业务规模的高速增长,公司的应收账款周
转率和存货周转率已显著改善,与同行业可比公司平均水平的差距逐年缩小,
2020 年末已接近同行业可比公司平均水平。

     (七)持续经营能力情况分析

     1、公司的业务及产品定位

     公司主要从高端事半导体专用设备的研发、生产、销售和技术服务。公司聚
焦的半导体薄膜沉积设备与光刻机、刻蚀机共同构成芯片制造三大主设备。

     公司凭借长期技术研发和工艺积累,打破国际寡头对国内市场的垄断,与国
际寡头在国内市场直接竞争。未来,半导体制造国产化势必带动设备国产化,国
产设备进口替代趋势明显,替代空间巨大,公司的产品有着巨大的发展空间。


                                     1-1-289
拓荆科技股份有限公司                                            招股说明书



     2、报告期经营策略

     报告期内,公司的经营策略主要包括产品开发、产线验证、人才培养、品牌
发展等方面。关于公司报告期经营策略,详见本招股说明书“第九节 募集资金
运用与未来发展规划”之“四、公司未来发展规划”之“(二)报告期内已采取
的措施及实施效果”。报告期内,公司营业收入呈高速增长趋势,公司报告期经
营策略取得了显著的收效,提高了持续经营能力。

     3、未来经营计划

     公司未来经营计划主要包括先进技术、先进工艺、先进制程产品的研发及产
业化、人才引进及人才梯队培养、客户群体和客户需求的拓展、台湾市场的拓展
等方面。关于未来经营计划的详细情况,详见本招股说明书“第九节 募集资金
运用与未来发展规划”之“四、公司未来发展规划”之“(三)未来发展规划及
措施”。公司的募集资金投资方向和未来经营计划中,不存在跨行业投资计划等
对持续经营能力方面产生重大不利影响的因素。

     4、管理层自我评判的依据

     公司管理层主要根据公司报告期内的各项主要财务指标,评判公司的持续经
营能力。

     报告期各期末,公司流动比率保持在 2 倍以上,资产负债率(合并)保持在
50%以下,偿债能力良好。截至报告期末,公司货币资金为 80,530.50 万元,无
银行借款,不存在债务违约等影响公司持续经营能力的情况。报告期各年度,公
司的营业收入高速增长,经营性现金流量逐年转良,公司的持续经营能力也进一
步增强。

     综上所述,公司具备良好的持续经营能力。可能对公司持续经营能力产生重
大不利影响的风险因素详见本招股说明书之“第四节 风险因素”。

十四、报告期重大投资或资本性支出、重大资产业务重组、股权收购

合并

     (一)重大投资事项

                                 1-1-290
拓荆科技股份有限公司                                            招股说明书



     报告期内,公司投资成立了拓荆键科(海宁)半导体设备有限公司、拓荆科
技(北京)有限公司和拓荆科技(上海)有限公司三家子公司,具体情况参见本
招股说明书之“第五节、发行人基本情况”之“八、发行人控股子公司及对发行
人有重大影响的参股公司的情况”之“(一)发行人控股子公司情况”。

     (二)重大资本性支出

     报告期内,公司重大资本性支出请参阅本节“十三、偿债能力、流动性与持
续经营能力分析”之“(四)资本性支出。”

     (三)重大资产业务重组事项及股权收购事项

     报告期内,公司不存在重大资产业务重组事项及股权收购事项。

十五、资产负债表日后事项、或有事项、其他重要事项及重大担保、

诉讼等事项

     截至本招股说明书签署日,公司不存在需要披露的重大资产负债表日后事
项、重大承诺事项、重要或有事项及重大对外担保、诉讼事项。

十六、未来实现盈利的前瞻性分析

     请参见本节“十一、经营成果分析”之“(十)扣除非经常性损益后尚未盈
利或存在累计未弥补亏损的影响”之“3、趋势分析”。

     尽管公司及公司管理层相信,上述预期或讨论所依据的假设是审慎、合理的,
但亦提醒投资者注意,上述预测是否能够实现仍然存在重大不确定性。鉴于该等
风险及不确定因素的存在,上述前瞻性陈述,不应视为本公司的承诺或声明,投
资者进行投资决策时应谨慎使用。




                                 1-1-291
拓荆科技股份有限公司                                                           招股说明书



                第九节 募集资金运用与未来发展规划


一、募集资金运用概况

     (一)募集资金投资方向与使用安排

     经公司第一届董事会第二次会议及 2021 年第二次临时股东大会决议,本次
发行并上市的募集资金扣除发行费用后,将投资于以下项目:

                                                                              单位:万元
                                   利用募集资
  项目名称         投资总额                                     项目备案
                                   金投资额
                                                 沈阳市浑南区工信局出具《关于<高端半导体
高端半导体设
                        7,986.46      7,986.46   设备扩产项目>项目备案证明》(项目代码:
备扩产项目
                                                         2103-210112-04-02-424612)
先进半导体设                                     沈阳市浑南区工信局出具《关于<先进半导体
备的技术研发           39,948.34     39,948.34   设备的技术研发与改进项目>项目备案证明》
与改进项目                                         (项目代码:2103-210112-04-02-185923)
                                                 上海临港地区开发建设管理委员会出具《上
ALD 设备研发
                       27,094.85     27,094.85   海市企业投资项目备案证明》(项目代码:
与产业化项目
                                                         2108-310115-04-05-492438)
补充流动资金           25,000.00     25,000.00                   不适用

     合计          100,029.65       100,029.65                      -


     若本次实际募集资金(扣除发行费用后)少于上述投资项目所需资金,公司
将通过自筹资金的方式解决;若本次实际募集资金(扣除发行费用后)超过上述
投资项目所需,则剩余部分将根据公司实际经营需要,并根据中国证监会及上海
证券交易所的有关规定用于公司主营业务的发展。募集资金投资项目在本次发行
募集资金到位前必须进行先期投入的,公司将以自筹资金先期进行投入,待本次
发行募集资金到位后,公司将以募集资金置换、偿还先期自筹资金投入。

     (二)募集资金投资项目实施后对公司同业竞争和独立性的影响

     本次募投项目由发行人或全资子公司实施,不涉及与其他方合作的情形。本
次募集资金投资项目的实施不会导致公司新增同业竞争,亦不会对公司的独立性
产生不利影响。




                                            1-1-292
拓荆科技股份有限公司                                             招股说明书



     (三)募集资金使用管理制度建立及执行情况

     2021 年 3 月 31 日,公司 2021 年第二次临时股东大会审议通过了《拓荆科
技股份有限公司募集资金管理办法》,参照中国证监会《上市公司监管指引第 2
号——上市公司募集资金管理和使用的监管要求》《上海证券交易所上市公司募
集资金管理办法》等规则建立起完善的募集资金的存储、使用、变更、决策、监
督和责任追究等机制。公司将严格遵循专户存放、规范使用、严格监督的原则进
行募集资金的使用和管理。

     (四)募集资金重点投向科技创新领域的具体安排

     公司主要从事高端半导体专业设备的研发、生产、销售和技术服务,本次募
集资金投资项目均围绕公司主营业务进行,系按照公司业务发展和技术研发创新
的要求对现有业务的提升和拓展,有利于公司进一步扩大生产经营规模和提高技
术研发实力,从而提升公司核心竞争力。

     本次募集资金重点投向科技创新领域的具体安排详见本节“二、募集资金运
用情况”。

     (五)募集资金投资项目实施的必要性和可行性

     1、项目实施的必要性

     (1)公司目前研发、生产及测试场地日益不足

     随着公司业务不断扩大,公司产品品类不断增加,目前研发、生产及测试场
地不足的问题日益明显。若不能及时解决研发生产场地不足的问题,公司将会面
临现有产品的扩产不能有效开展,不利于研发效率的提升与研发能力的建设。

     (2)提高市场占有率,抓住国内半导体产业高速发展的市场机遇

     我国已成为全球规模最大的半导体市场,半导体设备市场规模于 2020 年成
长为全球第一大市场,是全球最大的增量市场。公司产品作为晶圆制造的核心设
备之一,产品已覆盖国内主要晶圆厂产线。公司需要通过不断研发新技术平台、
新工艺机型,以提高公司在国内市场的占有率,从而抓住国内半导体产业高速发
展的市场机遇。


                                  1-1-293
拓荆科技股份有限公司                                           招股说明书



     (3)保持技术领先性

     半导体晶圆厂不断通过减小晶圆线宽以提供更高性能的芯片产品,相应对于
公司的薄膜沉积设备的产品性能参数以及薄膜材料覆盖面提出新的要求。公司作
为半导体设备企业,需要不断提高技术水平、升级产品性能以满足市场需求。

       2、项目实施的可行性

     (1)政策可行性

     近年来,国家陆续推出多项政策鼓励和支持集成电路产业发展,为集成电路
产业营造了良好的政策环境,为募集资金投资项目顺利实施提供了可靠的政策保
障。

     (2)技术可行性

     自 2010 年创立以来,拓荆科技一直致力吸收在薄膜设备设计及工艺研发领
域具有丰富经验的技术、管理专家,结合国内优秀人才形成了一支优秀人才团队。
强大及稳定的研发实力能够为募集资金投资项目提供有力支持。

     (3)市场可行性

     PECVD 是化学气相沉积中应用最为广泛的技术。项目涉及研发生产的
PECVD 设备是集成电路产业链中的核心装备,其下游应用覆盖多个应用领域。
ALD 设备作为先进制程晶圆制造的关键设备,响应了当前环境的下游客户发展
先进制程产线的市场需求。伴随着自主可控和国产替代战略的实施,近年来下游
产品市场规模的扩张使得市场对本土产品的需求不断增长,为募集资金投资项目
的实施提供了良好的市场保障。

二、募集资金运用情况

       (一)高端半导体设备扩产项目

       1、项目基本情况

     本项目将在公司现有的半导体薄膜设备研发和生产基地基础上进行二期洁
净厂房建设、配套设施及生产自动化管理系统建设。二期洁净厂房建设主要为千


                                  1-1-294
拓荆科技股份有限公司                                                    招股说明书



级洁净厂房,设计规模为 2,600 平方米左右。

       2、投资概算情况

       本项目预计建设期为 2 年,项目投资预算具体情况如下表所示:

                                                                       单位:万元
 序号              项目名称                   金额                 占比

   1       工程建设费用                              5,624.16             70.42%

  1.1      场地装修费                                2,500.00              31.30%

  1.2      工程建设其他费                             200.00                2.50%

  1.3      设备购置费                                2,924.16              36.61%

 1.3.1     硬件设备购置                              2,024.16              25.34%

 1.3.2     软件购置                                   900.00               11.27%

   2       基本预备费 2%                              112.48               1.41%

   3       铺底流动资金                              2,249.82             28.17%

             项目总投资                              7,986.46             100.00%


       3、项目时间周期与时间进度

       本项目建设期为 24 个月,项目开展将按照产品研发及销售进度来安排,具
体建设规划及进度如下表:

序号                   时间安排          T+6            T+12    T+18       T+24

  1                    场地装修

  2                    设备购置

  3                    产品扩产
注:T 代表项目初始时点,6、12 等数字代表月份数。

       4、募集资金运用涉及履行审批、核准或备案程序

       本项目建设内容已于 2021 年 3 月 23 日在沈阳市浑南区工业和信息化局完成
了项目备案,项目代码为:2103-210112-04-02-424612。

       5、投资项目环境保护及环评备案情况

       项目建成后主要进行薄膜沉积设备的装配、生产,不属于重污染行业,生产

                                    1-1-295
拓荆科技股份有限公司                                                 招股说明书



过程中产生的主要污染物有少量的废水、固体废物和噪声。项目实施过程中公司
将采取相应措施对污染物进行环保处理并达到国家环保规定的排放标准,尽量减
少对环境造成的影响。

        本项目属于发行人“半导体薄膜设备产业化基地(一期)项目”的扩产项目。
该项目已于 2015 年 4 月 29 日取得沈阳市环境保护局浑南新区分局沈环保浑南审
字[2015]0026 号环评批复。鉴于本项目建设内容仅限于“组装”,依据《建设项
目环境影响评价分类管理名录(2021 年版)》相关规定,无需取得环评批复或
备案文件。

        6、投资项目的选址

        根据公司的发展规划和客观情况,本项目拟在辽宁省沈阳市浑南区的现有生
产场地实施。

        (二)先进半导体设备的技术研发与改进项目

        1、项目基本情况

        本项目研发内容主要包括面向 28nm-10nm 制程 PECVD 设备的多种工艺型
号开发、面向 10nm 以下制程 PECVD 设备的平台架构研发及 UV Cure 系统设备
研发。通过在集成电路生产厂商进行生产线验证,实现产品的产业化,进一步提
升产品技术水平和拓展产品应用领域,推动公司业务规模的持续增长。

        2、投资概算情况

        本项目预计建设期为 3 年,项目投资预算具体情况如下表所示:

                                                                    单位:万元
 序号                     项目名称             金额              占比

   1       工程建设费用                             8,052.02            20.16%

  1.1      硬件设备购置                             8,052.02            20.16%

   2       研发费用                                31,113.02            77.88%

  2.1      研发人员工资                             4,284.32            10.72%

  2.2      试制费                                   5,300.00            13.27%

  2.3      耗材费                                  21,528.70            53.89%


                                     1-1-296
拓荆科技股份有限公司                                                         招股说明书



 序号                   项目名称                    金额                占比

     3      基本预备费 2%                                  783.30               1.96%

                   项目总投资                        39,948.34                100.00%


         3、项目时间周期与时间进度

         本项目建设期为 36 个月,项目开展将按照产品研发及销售进度来安排,具
体建设规划及进度如下表:

序号            时间安排           T+6       T+12   T+18       T+24   T+30       T+36

 1               方案立项

 2               设备购置

 3            技术研发与改进
注:T 代表项目初始时点,6、12 等数字代表月份数。

         4、募集资金运用涉及履行审批、核准或备案程序

         本项目建设内容已于 2021 年 3 月 23 日在沈阳市浑南区工业和信息化局完成
了项目备案,项目代码为 2103-210112-04-02-185923。

         5、投资项目环境保护及环评备案

         该项目为研发项目,对环境的影响主要来自研发过程中产生的废液、废水和
固体废弃物等,全部统一收集,交由有资质的第三方公司定期收集清运,统一处
理。

         本项目是利用现有的研发环境,包括厂房、研发平台及实验室等开展先进半
导体设备的研发,不属于《建设项目环境影响评价分类管理名录(2021 年版)》
规定的建设项目,不涉及建设项目环境影响评价管理,无需取得环评批复或备案
文件。

         6、投资项目的选址

         根据公司的发展规划和客观情况,本项目拟在辽宁省沈阳市浑南区的现有生
产场地进行实施。




                                         1-1-297
拓荆科技股份有限公司                                                招股说明书



       7、与发行人现有主要业务、核心技术之间的关系

       本募投项目将在原有 PECVD 产品和技术的基础上,针对更先进技术节点和
技术性能,进行技术改进与研发,扩展公司多个工艺型号产品在更先进制程的应
用,提升公司市场地位,增强盈利能力。

       (三)ALD 设备研发与产业化项目

       1、项目基本情况

       本项目拟在上海临港新片区购置整体厂房,进行装修改造,购置研发设备及
生产设备,建设新的研发及生产环境,项目实施主体为公司全资子公司拓荆科技
(上海)有限公司。项目建成后,将作为发行人 ALD 产品研发及产业化基地。
项目拟通过开展系列技术研发,基于公司现有 ALD 设备技术基础,开发面向
28nm-10nm 制程的 ALD 设备平台架构,发展多种工艺机型,同步开发不同腔室
数量的机台型号,满足逻辑芯片、存储芯片制造不同的工艺需求,并进行规模化
量产。

       2、投资概算情况

       本项目预计建设期为 3 年,项目投资预算具体情况如下表所示:

                                                                   单位:万元
  序号                  项目名称                金额              占比

   1       工程建设费用                            12,186.98             44.98%

  1.1                  场地购置费                      6,877.10          25.38%

  1.2                  场地建设费                       289.52            1.07%

  1.3                  场地装修费                      2,064.80           7.62%

  1.4              工程建设其他费                       738.51            2.73%

  1.5                  硬件设备购置                    2,217.05           8.18%

   2       研发费用                                13,204.20             48.73%

  2.1                  研发人员工资                    3,119.20          11.51%

  2.2                    试制费                         600.00            2.21%

  2.3                    耗材费                        9,485.00          35.01%



                                      1-1-298
拓荆科技股份有限公司                                                           招股说明书



  序号                  项目名称                    金额                  占比

      3       基本预备费 2%                                 507.83                1.87%

      4       铺底流动资金                                 1,195.84               4.41%

                  项目总投资                           27,094.85                100.00%


          3、项目时间周期与时间进度

          本项目建设期为 36 个月,项目开展将按照产品研发及销售进度来安排,具
体建设规划及进度如下表:

序号              时间安排         T+6       T+12    T+18        T+24   T+30       T+36

  1            场地购置及装修

  2               设备购置

  3            人员招聘及培训

  4            产品研发及生产
注:T 代表项目初始时点,6、12 等数字代表月份数。

          4、募集资金运用涉及履行审批、核准或备案程序

          本项目建设内容已于 2021 年 8 月 17 日取得上海临港地区开发建设管理委员
会《上海市企业投资项目备案证明》,国家代码:2108-310115-04-05-492438。

          5、投资项目环境保护及环评备案情况

          本项目建成后主要进行薄膜沉积设备的装配、生产,不属于重污染行业,生
产过程中产生的主要污染物有少量的废水、固体废物和噪声。项目实施过程中公
司将采取相应措施对污染物进行环保处理并达到国家环保规定的排放标准,尽量
减少对环境造成的影响。

          本项目已于 2021 年 9 月 18 日取得中国(上海)自由贸易试验区临港新片区
管理委员会《关于 ALD 设备研发与产业化项目环境影响报告表的告知承诺决定》
(沪自贸临管环保许评[2021]78 号),上海自贸区临港新片区管委会同意该项目
建设。

          6、投资项目的选址

          根据公司的发展规划和客观情况,本项目拟在上海临港购置整体厂房,进行

                                         1-1-299
拓荆科技股份有限公司                                            招股说明书



装修改造后进行实施。

     7、与发行人现有主要业务、核心技术之间的关系

     通过长期的自主研发,公司在 ALD 设备领域积累了多项核心技术,可为晶
圆制造客户提供量产的 SiO2 工艺型号 PE-ALD 设备。本募投项目将在原有产品
和技术的基础上,针对晶圆制造客户不同工序的设备需求,进行新设备新工艺的
研发生产,丰富公司 ALD 设备的产品线,提高公司产品在 ALD 设备市场的竞
争地位,增强公司盈利能力。

     (四)补充流动资金

     1、项目基本情况

     本公司拟以实际经营情况为基础,结合未来战略发展目标,适量补充流动资
金。补充流动资金项目不涉及办理备案及环评手续。

     2、项目实施的必要性

     报告期内,公司研发投入累计达 33,222.22 万元。为了加强技术储备,公司
将进一步加强研发,维持创新成果的持续输出。持续不断的研发投入和快速增长
的业务规模使得公司对营运资金的需求也将持续增加。

     3、管理运营安排

     公司将严格执行中国证监会、上海证券交易所有关募集资金使用的规定,并
按照《募集资金管理办法》对补充流动资金进行管理,实行募集资金的专户存储
制度,使用过程中将根据公司业务发展和实际经营的需要,合理安排补充流动资
金的使用,保障募集资金的安全和高效使用,保障和提高股东收益。

     4、补充流动资金对公司财务状况及经营成果的影响和对提升公司核心竞争
力的作用

     本次补充流动资金到位后,公司净资产与每股净资产将得到提高。净资产的
增加将增强本公司的生产经营能力和抗风险能力。本次补充流动资金将成为公司
在研发团队建设、业务拓展和日常营运方面的重要资金来源,公司将巩固在半导
体专用设备领域的市场地位,增加市场竞争力和影响力,提升核心竞争力。

                                 1-1-300
拓荆科技股份有限公司                                           招股说明书



三、募集资金运用涉及新取得土地或房产的说明

     本次募集资金项目中,高端半导体设备扩产项目、先进半导体设备的技术研
发与改进项目、补充流动资金项目不涉及新取得土地及房产使用。ALD 设备研
发与产业化项目涉及新取得房产,实施地点为上海闵联临港园区三期标准厂房中
在建的 13 号标准厂房,厂房将在完成竣工备案后交付。

     四、公司未来发展规划

     (一)公司的发展战略与规划

     公司未来将继续致力于高端半导体设备的研发生产,扩大现有设备市场占有
率,提高公司设备的技术先进性,丰富公司设备种类,拓展技术应用领域,开发
台湾市场。

     (二)报告期内已采取的措施及实施效果

     1、产品开发措施及实施效果

     报告期内,根据市场机会和客户需求,结合公司的市场判断,公司研发并生
产了多款新工艺型号 PECVD 设备、PE-ALD 新设备及 SACVD 新设备。公司完
成了十三五国家重大科技专项验收,同时新承担、联合承担了两项国家重大科技
项目/课题。

     2、产线验证措施及实施效果

     报告期内,公司积极推进产品进入客户产线进行产业化验证,扩大了公司产
品的曝光率,结合公司产品的优秀性能和较高的性价比,为公司业绩快速增长奠
定基础。

     3、技术人才培养措施及实施效果

     国内半导体产业起步较晚,半导体生产设备领域的人才及技术积累均较
为薄弱。为了加快半导体薄膜沉积设备的研发进度,公司一方面积极引入海
外专家,一方面自主培养技术骨干。截至报告期末,拓荆科技的研发人员 189
名,占员工总数的 44.06%。公司已形成一支以国际技术专家为带头人,以国内


                                  1-1-301
拓荆科技股份有限公司                                            招股说明书



技术骨干为基础,研发经验和产线调试经验丰富的研发团队。

     4、品牌发展措施及实施效果

     公司密切关注下游客户需求变化,利用技术创新、产品创新、服务创新为客
户解决技术问题,提供优质服务,塑造公司品牌。拓荆科技已成为国内半导体薄
膜沉积设备行业内的知名企业。2019 年,公司继 2016 年、2017 年再次获得中国
半导体行业协会颁发的“中国半导体设备五强企业”称号。

     (三)未来发展规划及措施

     为保障公司发展战略和规划的实施,公司未来将采取如下措施:

     1、持续研发投入,保持核心技术先进性

     公司将持续对技术研发的投入,不断深化公司对基础理论和产品思路的认
识,保持公司各项核心技术的先进性,提高公司对于新产品新工艺的设计能力。

     2、开展先进制程、先进工艺产品研发及产能建设

     公司将在现有产品基础上,开展配适 10nm 以下制程的 PECVD 产品研发;
丰富 ALD 设备产品线,开发 Thermal ALD 和大腔室 PE ALD;升级 SACVD 设
备,研发 12 英寸满足 28nm 以下制程工艺需要的 SACVD 设备。公司计划利用
募集资金扩大现有生产基地产能、新建贴近市场的研发生产基地,提高公司的研
发条件和产能水平,提升公司在行业内的竞争力。

     3、加强高端人才引进与内部人才梯队培养

     公司将进一步完善人才引进计划,高端人才持续加入,推动公司在国际前沿
技术和先进管理理念等方面保持竞争力。此外,公司为内部研发人员搭建技术交
流平台,帮助研发人员有效拓展专业技术积累,提高研发设计能力和实际操作技
能。通过研发、管理实践和务实高效的培训,积极培养内部技术、管理人才,构
建坚实的人才梯队。

     4、拓展新客户和现有客户的新需求

     在新客户方面,公司将积极接触国内下游客户,拓宽公司产品对下游客户的


                                 1-1-302
拓荆科技股份有限公司                                           招股说明书



销售覆盖。在现有客户方面,公司将积极关注客户新建产线或新工艺引入带来的
新需求。基于公司与现有客户已建成的合作基础,通过提供性能参数优异、性价
比突出及售后服务及时的产品,实现对现有客户新需求的销售,进一步提高公司
市场份额。

     5、拓展中国台湾市场

     中国台湾是全球半导体产业的重要区域,分布着台积电、联电等多家技术水
平领先的晶圆制造厂商,是仅次于中国大陆的半导体设备市场。公司将着力推进
先进制程研发产线、试产线设备验证,推动相关设备实现销售,并以此为突破口,
积极拓展更多中国台湾地区客户,扩大公司产品在全球市场的占有率。




                                 1-1-303
拓荆科技股份有限公司                                           招股说明书



                         第十节 投资者保护


一、信息披露和投资者关系的安排

     公司根据《公司法》《证券法》《上市公司信息披露管理办法》《上市公司
治理准则》《上海证券交易所科创板股票上市规则》《科创板首次公开发行股票
注册管理办法(试行)》等相关法律、法规、规范性文件和《公司章程(草案)》
的有关规定,修订了将在公司股票首次公开发行并上市后适用的《信息披露管理
制度》和《投资者关系管理制度》。

     本次发行上市后,公司将依照相关法律、法规和监管的规定与要求,严格执
行《信息披露管理制度》和《投资者关系管理制度》,进一步做好信息披露工作,
加强投资者关系管理,提高信息披露质量,促进投资者对公司的了解。

     董事长为公司实施信息披露事务管理制度的第一责任人,董事会秘书为公司
信息披露管理制度的直接责任人和具体协调人,公司董事会办公室为公司信息披
露事务的具体管理部门。咨询电话为:024-24188000-8013。

二、股利分配政策

     (一)报告期利润分配情况

     报告期内公司未进行利润分配。

     (二)本次股票公开发行后的股利分配政策

     为充分考虑全体股东的利益,根据《公司章程(草案)》的相关规定,本次
发行后公司将实施的主要股利分配政策和决策程序如下:

     1、分配政策

     (1)利润分配原则

     公司实行连续、稳定的利润分配政策,公司的利润分配应重视对投资者的合
理投资回报并兼顾公司的可持续发展,公司优先采取现金分红的利润分配形式。



                                 1-1-304
拓荆科技股份有限公司                                           招股说明书



     (2)利润分配形式

     公司可以采取现金、股票、现金股票相结合及其他合法的方式分配股利,但
利润分配不得超过累计可分配利润的范围。在满足公司现金支出计划的前提下,
公司可根据当期经营利润和现金流情况进行中期现金分红。

     (3)现金分红条件和比例

     在公司当年实现的净利润为正数且当年末公司累计未分配利润为正数的情
况下,公司应当进行现金分红,以现金形式分配的利润不少于当年实现的可供分
配利润的 10%。同时进行股票分红的,董事会应当综合考虑所处行业特点、发展
阶段、自身经营模式、盈利水平以及是否有重大资金支出安排等因素,区分下列
情形,提出现金分红政策:

     ①公司发展阶段属成熟期且无重大资金支出安排的,进行利润分配时,现金
分红在本次利润分配中所占比例最低应达到 80%;

     ②公司发展阶段属成熟期且有重大资金支出安排的,进行利润分配时,现金
分红在本次利润分配中所占比例最低应达到 40%;

     ③公司发展阶段属成长期且有重大资金支出安排的,进行利润分配时,现金
分红在本次利润分配中所占比例最低应达到 20%;

     ④公司发展阶段不易区分但有重大资金支出安排的,可以按照前项规定处
理。

     本条所称“重大资金支出”是指:①公司未来十二个月内拟对外投资、收购
资产或购买设备累计支出达到或超过公司最近一期经审计净资产 50%,且超过
5,000 万元;或②公司未来十二个月内拟对外投资、收购资产或购买设备累计支
出达到或超过公司最近一期经审计总资产的 30%。

     (4)股票股利发放条件

     公司有扩大股本规模需要,发放股票股利有利于公司全体股东整体利益的,
可以在满足本章程规定的现金分红条件的前提下进行股票股利分配。

     (5)对公众投资者的保护

                                 1-1-305
拓荆科技股份有限公司                                            招股说明书



     存在股东违规占用公司资金情况的,公司应当扣减该股东所分配的现金红
利,以偿还其占用的资金。

       2、决策程序

     (1)利润分配政策的制定

     公司制定利润分配政策,应遵守如下程序:

     公司董事会应就利润分配政策做出方案,该方案经全体董事过半数同意并经
独立董事过半数同意后提交股东大会审议。公司独立董事应对董事会通过的利润
分配政策方案发表独立意见。

     股东大会审议利润分配政策时,应采取现场投票和网络投票相结合的方式,
为公众投资者参与利润分配政策的制订提供便利,经出席股东大会会议的股东
(包括股东代理人)所持表决权的 2/3 以上同意方能通过决议。

     (2)利润分配政策的调整

     公司的利润分配政策应保持连续性和稳定性,公司外部经营环境或者自身经
营状况发生较大变化且有必要调整利润分配政策的,可以调整利润分配政策,但
应遵守以下规定:

     ①公司调整利润分配政策应以股东权益保护为出发点,调整后的利润分配政
策不得违反中国证监会和证券交易所的有关规定;

     ②应按照前项利润分配政策的制定程序,履行相应的决策程序;

     ③董事会在审议利润分配政策调整方案时,应详细论证和分析调整的原因及
必要性,并在股东大会的提案中说明。

     前述公司外部经营发生较大变化是指国内外的宏观经济环境、公司所处行业
的市场环境或者政策环境发生对公司重大不利影响的变化。前述公司自身经营状
况发生较大变化是指发生下列情形之一:①公司营业收入或者营业利润连续两年
下降且累计下降幅度达到 40%;②公司经营活动产生的现金流量净额连续两年为
负。



                                 1-1-306
拓荆科技股份有限公司                                           招股说明书



     (3)股东分红回报规划的制定及修改

     公司董事会应根据股东大会制定的利润分配政策以及公司未来发展计划,在
充分考虑和听取股东(特别是公众投资者)、独立董事的意见基础上,每三年制
定一次具体的股东分红回报规划。董事会制定的股东分红回报规划应经全体董事
过半数同意且经独立董事过半数同意后提交股东大会审议通过。

     若因公司利润分配政策进行修改或者公司经营环境或自身经营状况发生较
大变化而需要调整股东分红回报规划的,该等调整应限定在利润分配政策规定的
范围内,经全体董事过半数同意并经独立董事过半数同意方能通过。

     (4)具体利润分配方案的决策和实施程序

     ①利润分配方案的决策

     公司董事会应在年度报告或半年度报告公布后两个月内,根据公司的股东回
报规划,结合公司当年的生产经营状况、现金流量状况、未来的业务发展规划和
资金使用需求等因素,认真研究和论证公司现金分红的时机、条件和最低比例、
调整的条件及其决策程序要求等事宜,适时制订公司年度或中期分红方案。董事
会审议利润分配方案须经全体董事过半数并经全体独立董事过半数同意方能通
过。董事会决定不进行现金分红的,应在年度或中期利润分配方案中详细说明原
因和未分配的现金利润(如有)留存公司的用途,并按照相关规定进行披露。

     独立董事应当就董事会提出利润分配方案发表明确意见;董事会提出的利润
分配方案经过半数独立董事发表同意意见后,方能提交股东大会审议。独立董事
可以征集中小股东的意见,提出分红提案,并直接提交董事会审议。

     股东大会对现金分红具体方案进行审议前,公司应当通过多种渠道主动与股
东特别是中小股东进行沟通和交流,充分听取中小股东的意见和诉求,及时答复
中小股东关心的问题。

     股东大会审议利润分配方案时,可以采取现场投票、网络投票相结合的方式
进行投票,公司有义务为公众投资者参与表决提供便利,该等方案经出席股东大
会的股东(包括股东代理人)所持表决权的过半数以上同意方能通过。



                                 1-1-307
拓荆科技股份有限公司                                             招股说明书



     ②利润分配方案的实施

     股东大会审议通过利润分配方案后,由董事会负责实施,并应在规定的期限
内完成。存在股东违规占用公司资金情况的,董事会应当扣减该股东所分配的现
金红利,以偿还其占用的资金。

     (三)本次发行前后股利分配政策的差异情况

     与发行前股利分配政策相比,本次发行后的公司股利分配政策主要根据中国
证监会《上市公司章程指引(2019 年修订)》《上市公司监管指引第 3 号——
上市公司现金分红》的相关规定制定,更加合理和完善。公司发行上市后的股利
分配方式将优先采用现金分红的方式,符合相关要求,更有利于保护投资者的合
法利益。同时,公司对股利分配的实施条件,尤其是现金分红的条件、比例和股
票股利的分配条件等作出了更为详细的规定,并进一步完善了利润分配方案的决
策程序和机制,增强了股利分配政策的可操作性。

三、发行前滚存利润的分配安排

     2021 年 3 月 31 日,发行人 2021 年第二次临时股东大会决议,公司首次公
开发行股票前的滚存未分配利润或累计未弥补亏损将由发行后的新老股东按照
届时的持股比例共同享有或承担。

四、股东投票机制建立情况

     发行人《公司章程(草案)》对股东投票机制作出了规定,包括采取中小投
资者单独计票机制、网络投票制、征集投票权、累积投票制选举公司董事或监事
等,具体内容如下:

     (一)中小投资者单独计票机制

     股东大会审议影响中小投资者利益的重大事项时,对中小投资者表决应当单
独计票。单独计票结果应当及时公开披露。

     (二)网络投票制

     公司股东大会将设置会场,以现场会议形式召开。公司还将提供网络投票的


                                  1-1-308
拓荆科技股份有限公司                                           招股说明书



方式为股东参加股东大会提供便利。股东通过上述方式参加股东大会的,视为出
席。股东大会审议利润分配政策时,应采取现场投票和网络投票相结合的方式,
为公众投资者参与利润分配政策的制订提供便利。

     (三)征集投票权

     董事会、独立董事和符合相关规定条件的股东可以依据相关规定公开征集股
东投票权。征集股东投票权应当向被征集人充分披露具体投票意向等信息。禁止
以有偿或者变相有偿的方式征集股东投票权。公司不得对征集投票权提出最低持
股比例限制。

     (四)累积投票制

     股东大会选举两名以上董事或监事时,实行累积投票制。累积投票制是指股
东大会选举两名以上董事或者监事时,每一股份拥有与应选董事或者监事人数相
同的表决权,股东拥有的表决权可以集中使用。

五、重要承诺

     (一)本次发行前股东所持股份的限售安排、自愿锁定股份、延长锁定期
限的承诺

     1、关于无实际控制人的股份锁定安排

     截至本招股说明书签署日,根据国家集成电路基金、国投上海、姜谦及其一
致行动人等股东出具的股份锁定承诺函以及现行适用的相关监管规则,前述股东
所持发行人股份自上市之日起锁定 36 个月。上述锁定股份的总数超过发行人发
行前股份总数的 51%。

     如果监管规则对上市发行人股份锁定或减持有新的规定,则前述股东在锁定
或减持发行人股份时将执行届时适用的最新监管规则。

     2、公司股东关于股份锁定的承诺

     公司 32 位股东已出具关于股份锁定的承诺函,主要内容详见本招股说明书
“第十三节 附件”之“附表 5:与投资者保护相关的承诺”之“(一)本次发


                                 1-1-309
拓荆科技股份有限公司                                            招股说明书



行前股东所持股份的限售安排、自愿锁定股份、延长锁定期限的承诺”。

     (二)关于持股意向及减持意向的承诺

     公司持股 5%以上主要股东已出具关于上市前所持有股份的持股意向及减持
意向的承诺函,主要内容详见本招股说明书“第十三节 附件”之“附表 5:与
投资者保护相关的承诺”之“(二)关于持股意向及减持意向的承诺”。

     (三)稳定股价的措施和承诺

     公司稳定股价的具体措施及相关主体作出的关于稳定股价的承诺主要内容
详见本招股说明书“第十三节 附件”之“附表 5:与投资者保护相关的承诺”
之“(三)稳定股价的措施和承诺”。

     (四)对欺诈发行上市及其他事项的股份回购和股份购回的承诺

     公司和公司全体董事、监事、高级管理人员已对欺诈发行上市及其他事项的
股份回购和股份购回作出承诺,主要内容详见“第十三节 附件”之“附表 5:
与投资者保护相关的承诺”之“(四)对欺诈发行上市及其他事项的股份回购和
股份购回的承诺”。

     (五)关于填补被摊薄即期回报的措施及承诺

     公司以及公司董事、高级管理人员对于填补被摊薄即期回报的措施及承诺,
主要内容详见本招股说明书“第十三节 附件”之“附表 5:与投资者保护相关
的承诺”之“(五)关于填补被摊薄即期回报的措施及承诺”。

     (六)利润分配政策的承诺

     公司上市后未来三年分红回报规划以及公司出具的相关承诺主要内容详见
“第十三节 附件”之“附表 5:与投资者保护相关的承诺”之“(六)利润分
配政策的承诺”。

     (七)依法承担赔偿或赔偿责任的承诺

     公司及全体董事、监事、高级管理人员已出具对发行申请文件真实性、准确
性和完整性的承诺;公司及全体董事、监事、高级管理人员、持股 5%以上主要


                                  1-1-310
拓荆科技股份有限公司                                           招股说明书



股东已出具关于未履行相关承诺的约束措施承诺函;主要内容详见本招股说明书
“第十三节 附件”之“附表 5:与投资者保护相关的承诺”之“(七)依法承
担赔偿或赔偿责任的承诺”。

     (八)关于首次公开发行股票股东信息披露的专项承诺

     发行人已根据证监会《监管规则适用指引——关于申请首发上市企业股东信
息披露》及上交所《关于科创板落实首发上市企业股东信息披露监管相关事项的
通知》,出具了《拓荆科技股份有限公司关于股东信息披露的专项承诺》,主要
内容详见本招股说明书“第十三节 附件”之“附表 5:与投资者保护相关的承
诺”之“(八)关于首次公开发行股票股东信息披露的专项承诺”。

     (九)其他承诺事项

     本次发行的中介机构已对本次发行出具相关承诺,主要内容详见本招股说明
书“第十三节 附件”之“附表 5:与投资者保护相关的承诺”之“(十一)其
他承诺事项”。




                                 1-1-311
拓荆科技股份有限公司                                                   招股说明书



                         第十一节 其他重要事项


一、重大合同情况

     报告期内,发行人已履行和正在履行的对报告期经营活动、财务状况或未来
发展等具有重要影响的重大合同、协议如下:

     (一) 销售合同

     报告期内,发行人根据客户交易习惯与部分客户签订销售框架合同,对合作
模式、产品验证、付款安排、质量保证等进行约定,后续通过订单的方式确定销
售产品的具体型号、数量和价格等内容;与部分客户则直接以采购订单或采购合
同或二者兼具的方式进行交易。

     对于发行人报告期内签订的正式销售合同,以发行人是否已完成交货分为已
履行(已完成交货)合同和正在履行(尚未完成交货)合同。发行人报告期内与
同一客户(或同一控制下客户)签订的正式合同金额(不含税,下同)累计计算,
将报告期内发行人与正式合同金额累计加总后排名前五的客户所签订的正式合
同认定为重大销售合同。其中,已履行和正在履行的合同金额累计加总情况分别
计算,各取报告期内前五大客户。

     报告期内,发行人签订且已履行(已完成交货)的重大销售合同如下:

                                        合同情况
客户
名称             不含税合同金额
          年份                     合同标的    合同类型          履行期限
                     (万元)
          2018          2,441.00

          2019         12,517.91
中芯国
          2020         16,343.19   PECVD           订单       以实际订单为准
  际
          2021         20,721.70

          合计         52,023.80

长江存    2018          2,966.07                           双方于 2018 年 10 月 15
                                              框架协议、
储科技                             PECVD                   日签订框架协议,有效
          2019          7,356.15                  订单
有限责                                                           期五年;



                                    1-1-312
拓荆科技股份有限公司                                                             招股说明书



                                             合同情况
 客户
 名称             不含税合同金额
          年份                         合同标的      合同类型              履行期限
                      (万元)
任公司    2020           5,304.92                                    订单的履行期限以实际
                                                                           订单为准
          2021           6,946.15

          合计          22,573.29

          2018           1,629.31

          2019           5,873.51
                                      ALD 升级、
华虹集                                              采购合同、
          2020           9,600.50      PECVD、                          以实际订单为准
  团                                                    订单
                                        SACVD
          2021           3,096.00

          合计          20,199.32

          2020           9,756.11                                    双方于 2020 年 12 月 31
                                                                     日签订框架合同,甲方
北京屹    2021             475.29                                    有权在乙方未能按甲方
唐科技                                PECVD、       框架合同、       确定的期限内补救其违
有限公                                 SACVD            订单           约时立即终止采购订
  司      合计          10,231.40                                              单;
                                                                     订单的履行期限以实际
                                                                           订单为准
上海积    2021           7,789.10
塔半导                                PECVD、
                                                          订单          以实际订单为准
体有限    合计           7,789.10      SACVD
  公司

     报告期内,发行人签订且正在履行(尚未完成交货)的重大销售合同如下:

                                               合同情况
 客户名称               不含税合同
                 年份       金额        合同标的          合同类型            履行期限
                          (万元)
                 2020      6,920.80
                                                        采购合同、订
 华虹集团        2021     26,838.33      PECVD                            以实际订单为准
                                                            单
                 合计     33,759.13

                 2021     31,213.70
 中芯国际                                PECVD              订单          以实际订单为准
                 合计     31,213.70

浙江创芯集       2021      5,330.00
                                        PECVD、
成电路有限                                                采购合同        以实际订单为准
                 合计      5,330.00      SACVD
  公司

芯恩(青岛)     2021      4,847.60      PECVD              订单          以实际订单为准


                                         1-1-313
拓荆科技股份有限公司                                                      招股说明书



                                            合同情况
 客户名称              不含税合同
               年份        金额       合同标的           合同类型      履行期限
                         (万元)
集成电路有
               合计       4,847.60
  限公司
格科半导体     2021       2,920.00
(上海)有                             PECVD             采购合同    以实际订单为准
  限公司       合计       2,920.00
注:截至报告期末,报告期内发行人与客户签订的正式合同,仅有上述四家处于正在履行状
态。

     报告期内,发行人与客户签订的上述重大销售合同均正常履行,均不存在合
同争议。报告期内,发行人不存在因重大销售合同产生诉讼或仲裁的情况。

     (二) 采购合同

     报告期内,发行人与部分供应商签订采购框架合同,对合作模式、交货方式、
付款安排、质量保证等进行约定,后续通过订单的方式确定采购产品的具体型号、
数量和价格等内容;与部分供应商直接以采购订单的方式进行交易。

     对于报告期内发行人与供应商签订的采购合同,以供应商是否已经交付订单
中全部标的分为已履行(全部完成交货)合同和正在履行(尚未全部完成交货)
合同。由于发行人供应商数目较多,同时与供应商存在大量数额较小的订单,因
此将报告期内各期合同金额排名前五或单笔超过 1,000 万元人民币的订单认定为
重大采购合同。

     报告期内,发行人签订的重大采购合同如下:

                                                                         单位:万元
                                      2021 年
                                            不含税合同
      供应商           签订日期      币种                    标的      履行情况
                                              金额
  MKS Instruments
  (Hong Kong)        2021/6/7      USD        870.02      原材料     正在履行
     Limited
      NGK
                       2021/2/23     JPY    60,000.00       原材料     正在履行
INSULATORS,LTD.
 Rorze Corporation     2021/9/5      JPY    57,304.20       原材料     正在履行

 Rorze Corporation     2021/7/13     JPY    55,508.90       原材料     正在履行

 Rorze Corporation     2021/7/13     JPY    47,671.32       原材料     正在履行

                                      1-1-314
拓荆科技股份有限公司                                                  招股说明书



                                     2020 年

      供应商           凭证日期     币种   合同金额       标的     履行情况
Brooks Automation,
                       2020/10/13   USD        348.18     原材料   正在履行
       Inc.
 XP POWER LLC          2020/3/19    USD        248.12     原材料   正在履行
 MKS Instruments
 (Hong Kong)         2020/10/16   USD        232.28     原材料   正在履行
    Limited
 Rorze Corporation     2020/6/29    JPY    23,318.13      原材料    已履行

 XP POWER LLC          2020/7/22    USD        146.49     原材料   正在履行

                                     2019 年

      供应商           凭证日期     币种   合同金额       标的     履行情况

 XP POWER LLC          2019/9/12    USD         62.44     原材料    已履行

 XP POWER LLC          2019/11/5    USD         55.64     原材料    已履行

  Durex Industries     2019/12/3    USD         52.00     原材料    已履行
MKS Instruments
  (Hong Kong)        2019/9/12    USD         47.22     原材料    已履行
     Limited
超科林微电子设备
                       2019/11/7    CNY        319.52     原材料    已履行
(上海)有限公司
                                     2018 年

      供应商           凭证日期     币种   合同金额       标的     履行情况
万机仪器(中国)有
                       2018/6/15    CNY        308.08     原材料    已履行
      限公司
 XP POWER LLC          2018/12/26   USD         44.08     原材料    已履行
超科林微电子设备
                        2018/8/9    CNY        257.69     原材料    已履行
(上海)有限公司
Brooks Automation,
                       2018/6/15    USD         34.40     原材料    已履行
       Inc.
 Rorze Corporation     2018/5/31    JPY        3,600.00   原材料    已履行


     报告期内,发行人与供应商签订的重大采购合同均正常履行,采购合同均不
存在合同争议。报告期内,发行人不存在因重大采购合同产生诉讼或仲裁的情况。

     (三) 售后回租合同

     报告期内,发行人共计履行 1 份售后回租合同,详情如下:




                                     1-1-315
拓荆科技股份有限公司                                                               招股说明书


                                                                                  单位:万元
                 出借方                                                  利率
签署日期                      销售价格         租金            期限                  履行情况
               (购买方)                                                (%)
             芯鑫融资租赁有
2017.8.11                     5500.00        5,614.4933      6 个月       5.539      已还款
               限责任公司

     报告期内,发行人上述售后回租合同正常履行,不存在合同争议。报告期内,
发行人不存在因重大售后回租合同产生诉讼或仲裁的情况。

     (四) 保理合同

     报告期内,发行人共计履行 1 份保理合同,详情如下:

                                                                               单位:万元
  签署      应收债权                        应收                           利率    履行
                           债务人                     转让款      期限
  日期      受让方                          债权                           (%)   情况
                       1、武汉新芯集成
                       电路制造有限公
                              司
                       2、联芯集成电路
            芯鑫融资   (厦门)有限公司
                                                                                        已还
2017.6.28   租赁有限   3、中芯国际集成 5,011.92       4,500.00   6 个月     5.6239
                                                                                        款
            责任公司   电路制造(深圳)
                           有限公司
                       4、中芯国际集成
                       电路(北京)有限
                             公司

     报告期内,发行人上述保理合同正常履行,不存在合同争议。报告期内,发
行人不存在因保理合同产生诉讼或仲裁的情况。

二、对外担保情况

     截至本招股说明书签署日,发行人无对外担保事项。

三、重大诉讼或仲裁事项

     (一)发行人未结诉讼、仲裁情况

     截至本招股说明书签署日,发行人不存在尚未了结的重大诉讼或仲裁情况。

     (二)发行人控股股东、实际控制人等人员涉案情况

     报告期内,公司不存在控股股东、实际控制人。截至本招股说明书签署日,


                                         1-1-316
拓荆科技股份有限公司                                            招股说明书



不存在发行人董事、监事、高级管理人员和核心技术人员作为一方当事人,可能
对发行人产生影响的刑事诉讼、重大诉讼或仲裁事项。

     最近三年,发行人董事、监事、高级管理人员和核心技术人员,不存在涉及行
政处罚、被司法机关立案调查、被中国证监会立案调查的情况。该处“行政处罚”,
指财政、税务、审计、海关、工商等部门实施的,涉及公司经营管理活动的行政处
罚决定;或其他行政机关给予的,被罚行为明显有违诚信的行政处罚决定。

四、控股股东、实际控制人重大违法情况

     最近三年,发行人不存在控股股东、实际控制人;发行人第一大股东国家集
成电路基金不存在有贪污、贿赂、侵占财产、挪用财产或者破坏社会主义市场经
济秩序的刑事犯罪,或欺诈发行、重大信息披露违法或者其他涉及国家安全、公
共安全、生态安全、生产安全、公众健康安全等领域的重大违法行为。




                                  1-1-317
 拓荆科技股份有限公司                                            招股说明书



                           第十二节 声明


 一、发行人全体董事、监事、高级管理人员声明

      本公司全体董事、监事、高级管理人员承诺本招股说明书不存在虚假记载、
 误导性陈述或重大遗漏,并对其真实性、准确性、完整性承担个别和连带的法律
 责任。




全体董事签字:



  GUANGQUAN LU(吕光泉)                        CHIEN CHIANG(姜谦)



               杨征帆                                 杨   柳




               齐   雷                      GERALD ZHEYAO YIN(尹志尧)



               吴汉明                                   黄宏彬



               赵国庆



                                                   拓荆科技股份有限公司

                                                    2022 年      月     日




                                 1-1-318
 拓荆科技股份有限公司                                           招股说明书



 一、发行人全体董事、监事、高级管理人员声明

     本公司全体董事、监事、高级管理人员承诺本招股说明书不存在虚假记载、
 误导性陈述或重大遗漏,并对其真实性、准确性、完整性承担个别和连带的法律
 责任。




全体监事签字:



    WUMAO YE(叶五毛)                                郭   郢



            曹    阳                                  许荣伟



            苑    雪                                  刘忠武




                                                  拓荆科技股份有限公司

                                                    2022 年     月     日




                                 1-1-319
 拓荆科技股份有限公司                                              招股说明书



 一、发行人全体董事、监事、高级管理人员声明

     本公司全体董事、监事、高级管理人员承诺本招股说明书不存在虚假记载、
 误导性陈述或重大遗漏,并对其真实性、准确性、完整性承担个别和连带的法律
 责任。




全体高管签字:



  JASON LEE TIAN(田晓明)                 HSIAO-YUNG CHANG(张孝勇)



       JIAN ZHOU(周坚)                                刘    静



               孙丽杰                                   赵    曦




                                                  拓荆科技股份有限公司

                                                    2022 年        月     日




                                 1-1-320
拓荆科技股份有限公司                                          招股说明书



二、发行人主要股东声明

     本公司或本人承诺本招股说明书不存在虚假记载、误导性陈述或重大遗漏,
并对其真实性、准确性、完整性承担个别和连带的法律责任。




法定代表人签字:




           楼宇光




                                  国家集成电路产业投资基金股份有限公司

                                                   2022 年    月     日




                                1-1-321
拓荆科技股份有限公司                                            招股说明书



三、保荐人(主承销商)声明

     本公司已对招股说明书进行了核查,确认不存在虚假记载、误导性陈述或重
大遗漏,并对其真实性、准确性、完整性承担相应的法律责任。




项目协办人:


                            胡明勇




保荐代表人:


                            刘宪广                   张    贺




保荐机构总经理:


                            吴宗敏




保荐机构法定代表人:


                            霍 达


                                                  招商证券股份有限公司

                                                   2022 年      月     日




                                 1-1-322
拓荆科技股份有限公司                                          招股说明书



                         招股说明书的声明

    本人已认真阅读拓荆科技股份有限公司招股说明书的全部内容,确认招股说
明书不存在虚假记载、误导性陈述或者重大遗漏,并对招股说明书真实性、准确
性、完整性、及时性承担相应法律责任。




保荐机构总经理:


                           吴宗敏




保荐机构法定代表人:


                           霍   达




                                                 招商证券股份有限公司

                                                   2022 年   月      日




                                 1-1-323
拓荆科技股份有限公司                                           招股说明书



四、联席主承销商声明

     本公司已对招股说明书进行了核查,确认不存在虚假记载、误导性陈述或重
大遗漏,并对其真实性、准确性、完整性承担相应的法律责任。




                 法定代表人:

                                       孙孝坤




                                                  国开证券股份有限公司

                                                    2022 年   月      日




                                 1-1-324
拓荆科技股份有限公司                                               招股说明书



五、发行人律师声明

     本所及经办律师已阅读招股说明书,确认招股说明书与本所出具的法律意见
书和律师工作报告无矛盾之处。本所及经办律师对发行人在招股说明书中引用的
法律意见书和律师工作报告的内容无异议,确认招股说明书不致因上述内容而出
现虚假记载、误导性陈述或重大遗漏,并对其真实性、准确性、完整性、及时性
承担相应的法律责任。




律师事务所负责人:


                          张学兵




经办律师:


                          都   伟                      刘     佳




                          姚腾越




                                                  北京市中伦律师事务所

                                                    2022 年        月     日




                                    1-1-325
拓荆科技股份有限公司                                                招股说明书



六、审计机构声明

     本所及签字注册会计师已阅读《拓荆科技股份有限公司首次公开发行股票并
在科创板上市招股说明书》(以下简称招股说明书),确认招股说明书与本所出
具的《审计报告》(天健审[2022]8 号)、《内部控制鉴证报告》(天健审[2022]9
号)及经本所鉴证的非经常性损益明细表的内容无矛盾之处。本所及签字注册会
计师对拓荆科技股份有限公司在招股说明书中引用的上述审计报告、内部控制鉴
证报告及经本所鉴证的非经常性损益明细表的内容无异议,确认招股说明书不致
因上述内容而出现虚假记载、误导性陈述或重大遗漏,并对引用的上述内容的真
实性、准确性和完整性承担相应的法律责任。




签字注册会计师:


                                陈焱鑫                   徐    银




天健会计师事务所负责人:


                                王国海




                                       天健会计师事务所(特殊普通合伙)

                                                     2022 年        月     日




                                 1-1-326
拓荆科技股份有限公司                                                 招股说明书



七、资产评估机构声明(一)

    本机构及签字注册资产评估师已阅读招股说明书,确认招股说明书与本机构
出具的资产评估报告无矛盾之处。本机构及签字注册资产评估师对发行人在招股
说明书中引用的资产评估报告的内容无异议,确认招股说明书不致因上述内容而
出现虚假记载、误导性陈述或重大遗漏,并对其真实性、准确性、完整性承担相
应的法律责任。




评估机构负责人:


                         权忠光




签字资产评估师:


                         王晨煜                             王少岩




                                            北京中企华资产评估有限责任公司

                                                         2022 年     月     日




                                  1-1-327
拓荆科技股份有限公司                                                招股说明书



七、资产评估机构声明(二)

     本机构及签字注册资产评估师已阅读招股说明书,确认招股说明书与本机构
出具的沃克森国际评报字(2021)第 0620 号追溯资产评估报告、沃克森国际评
报字(2021)第 0621 号追溯资产评估报告无矛盾之处。本机构及签字注册资产
评估师对发行人在招股说明书中引用的资产评估报告的内容无异议,确认招股说
明书不致因上述内容而出现虚假记载、误导性陈述或重大遗漏,并对其真实性、
准确性、完整性承担相应的法律责任。




评估机构负责人:


                          徐伟建




签字资产评估师:


                          王海鹏                           陶二朋




                                       沃克森(北京)国际资产评估有限公司

                                                        2022 年     月     日




                                   1-1-328
拓荆科技股份有限公司                                             招股说明书



八、验资机构声明

     本所及签字注册会计师已阅读《拓荆科技股份有限公司首次公开发行股票并
在科创板上市招股说明书》(以下简称招股说明书),确认招股说明书与本所出
具的《验资报告》(天健验[2021]120 号)的内容无矛盾之处。本所及签字注册
会计师对拓荆科技股份有限公司在招股说明书中引用的上述报告的内容无异议,
确认招股说明书不致因上述内容而出现虚假记载、误导性陈述或重大遗漏,并对
引用的上述内容的真实性、准确性和完整性承担相应的法律责任。




签字注册会计师:


                               陈焱鑫                      徐   银




天健会计师事务负责人:


                               王国海




                                        天健会计师事务所(特殊普通合伙)

                                                     2022 年    月      日




                                 1-1-329
拓荆科技股份有限公司                                              招股说明书



九、验资复核机构声明

     本所及签字注册会计师已阅读《拓荆科技股份有限公司首次公开发行股票并
在科创板上市招股说明书》(以下简称招股说明书),确认招股说明书与本所出
具的《实收资本复核报告》(天健验[2021]116 号)的内容无矛盾之处。本所及
签字注册会计师对拓荆科技股份有限公司在招股说明书中引用的上述报告的内
容无异议,确认招股说明书不致因上述内容而出现虚假记载、误导性陈述或重大
遗漏,并对引用的上述内容的真实性、准确性和完整性承担相应的法律责任。




签字注册会计师:


                               陈焱鑫              徐    银




天健会计师事务负责人:


                               王国海




                                        天健会计师事务所(特殊普通合伙)

                                                        2022 年   月     日




                                 1-1-330
拓荆科技股份有限公司                                           招股说明书




                           第十三节 附件


     (一)发行保荐书;

     (二)上市保荐书;

     (三)法律意见书;

     (四)财务报告及审计报告;

     (五)公司章程(草案);

     (六)发行人及其他责任主体作出的与发行人本次发行上市相关的承诺事
项;

     (七)发行人审计报告基准日至招股说明书签署日之间的相关财务报表及审
阅报告(如有);

     (八)盈利预测报告及审核报告(如有);

     (九)内部控制鉴证报告;

     (十)经注册会计师鉴证的非经常性损益明细表;

     (十一)中国证监会同意发行人本次公开发行注册的文件;

     (十二)其他与本次发行有关的重要文件。




                                  1-1-331
拓荆科技股份有限公司                                                                招股说明书



附表 1:发行人拥有的房屋建筑

                                                                                      他项
 序号              地址             权利人     产权证书号     面积(㎡)   用途
                                                                                      权利
                                     辽(2019)沈阳市
          浑南区水家 900-1 号
  1                                    不动产权第
                                    发行人             9,235.07   厂房      无
              (1-4 层)
                                         0290319 号
                                     辽(2019)沈阳市
        浑南区水家 900-2 号
  2                           发行人   不动产权第      9,615.08   厂房      无
            (1-2 层)
                                         0290359 号
                                     辽(2019)沈阳市
        浑南区水家 900-3 号
  3                           发行人   不动产权第     13,242.99   厂房      无
              (全部)
                                         0290352 号
                                     辽(2019)沈阳市
        浑南区水家 900-4 号                                     宿舍、食
  4                           发行人   不动产权第      5,929.75             无
              (全部)                                              堂
                                         0290346 号
                                     辽(2019)沈阳市
        浑南区水家 900-5 号
  5                           发行人   不动产权第       12.25     其他      无
              (全部)
                                         0290340 号
                                     辽(2019)沈阳市
        浑南区水家 900-6 号
  6                           发行人   不动产权第       30.25     其他      无
              (全部)
                                         0290330 号
注:为充分利用闲置的房屋建筑物,发行人与上海大族富创得科技有限公司签订《房屋租赁
合同》,约定将上述第 1 项房屋建筑物三层西侧房屋出租给上海大族富创得科技有限公司做
办公之用,租用面积(建筑面积)100 平方米,年租金 50,202 元,租用日期 2021 年 1 月 1
日,终止日期 2021 年 12 月 31 日。


附表 2:发行人租赁的房产

序号 承租方       出租方            坐落           面积(㎡) 用途           期限
                 中海海隆     苏州市工业园区苏
                 商业管理     州大道西 9 号中海
  1     发行人                                         8      办公     2021.6.1-2022.5.31
                 (苏州)有   财富中心东塔 4 层
                   限公司       SZ10-R59 单元
                 上海闵联
                              上海市浦东新区旭
         拓荆    临港联合
  2                           日路 501 号 1 幢 4    128.4     办公     2021.10.9-2022.4.8
         上海    发展有限
                                  层 403 室
                   公司
                 海宁经开
         拓荆    产业园区     海宁市海昌街道新
  3                                                 4331.6    经营   2020.11.30-2023.11.29
         键科    开发建设     站芯中路 8 号 3 幢
                 有限公司
                        武汉市东湖新技术
               武汉明桥
                        开发区光谷大道特
        武汉分 众创空间
  4                     1 号国际企业中信               1      办公     2021.9.3-2022.9.2
        公司 管理有限
                        三期 3 栋 3 层 07 号
                 公司
                            (A072)


                                             1-1-332
拓荆科技股份有限公司                                                             招股说明书



序号 承租方     出租方        坐落           面积(㎡) 用途              期限
                      上海市浦东新区康
             上海华力
                      桥工业区南区古博
             集成电路
  5   发行人          路 100 号甲方厂区        66.1      办公      2021.1.1-2022.12.31
             制造有限
                      内工程楼(E1)2F A
               公司
                                区
             武汉紫光 武汉东湖开发区高
             科城物业 新大道未来三路临
  6   发行人                                  55.14      办公     2020.12.21-2022.12.20
             管理服务     建办公区号楼
             有限公司 D108-1&D208-2 室
                      安徽省合肥市蜀山
                                                       办公、
  7   发行人 刘玉柱 区高刘镇起航南苑            90                 2021.6.16-2022.6.15
                                                       备件库
                      9 栋 904 室 2 室一厅
                      青岛市中德生态园
                                                       办公、备
  8   发行人 薛在江    福莱社区 75 号楼        100                2021.04.08-2022.04.07
                                                       件库
                               2401
                      绍兴市碧桂园运河
                                                       办公、备
  9   发行人 夏敏媛    宸章 28 幢 1 单元       125                  2021.1.5-2023.1.4
                                                       件库
                              401 室
                      天津市西青区万和                 办公、备
 10   发行人 叶益万                           129.53              2020.11.1-2022.10.31
                        花苑 7-1-1802 房                 件库
                      武汉市左岭新城二                 办公、备
 11   发行人 邹燕芳                            130                2020.12.26-2022.12.25
                        社区 9-2-2404 房               件库
                      重庆市沙坪坝区永                 办公、备
 12   发行人 刘玉奎                           80.63               2021.03.05-2022.03.04
                        盛路 49 号 4-16-1              件库
                      上海市浦东新区航
                                                        办公、
 13   发行人 王喜英    瑞路 389 弄 42 号      110.56              2021.06.15-2024.06.14
                                                        备件库
                              301 室
                      无锡市宝龙世家 A
                                                       办公、备
 14   发行人 匡铭杰 区 38 单元 3 层 301       129.32              2021.06.10-2022.06.09
                                                         件库
                                房
                      深圳市大工业区燕
                                                       办公、备
 15   发行人   周孟   子岭盈富家园 C 区       75.19               2021.06.10-2022.06.09
                                                         件库
                              C-909
             北京浩轩 北京经济技术开发
      北京拓
 16          飞扬科技 区地盛中路 3 号 1        568       办公     2021.07.01-2024.05.30
        荆
             有限公司 幢 A 座 5 层 501-10
             北京亦迅
      北京拓          北京经济技术开发
 17          通科技有                         80.47     备件库    2021.05.12-2023.05.11
        荆            区地盛中路 5 号院
             限公司
                      武汉东湖新技术开
             武汉地质
                      发区左岭街未来三
             资源环境
                      路 99 号武汉地质资
 18   发行人 工业技术                          354       办公     2021.11.15-2026.12.14
                      源环境工业技术研
             研究院有
                      究院一期 2#楼 203
             限公司
                                室
                      厦门同安区海城三                 办公、备
 19   发行人 黄燕瑜                           132.95              2021.11.20-2022.1119
                        里 10 号 2501 室                 件库
                      广州市黄埔区凤凰
 20   发行人 陈锦华                             60      备件库    2021.12.17-2022.12.17
                      五路 40 号 11 栋 402

                                       1-1-333
拓荆科技股份有限公司                                                               招股说明书



序号 承租方     出租方         坐落              面积(㎡) 用途            期限
                                  房

                          太仓市陆渡镇中市
 21    发行人   张玉英   路白云渡公寓 2#楼 144.72      备件库    2022.1.15-2023.1.14
                                901 室
                          太仓市娄东街道上
  22 发行人 许志伟 海东路 168 号 3 栋 108.41             办公    2022.1.20-2023.1.19
                                1309 室
                          合肥市蜀山区沃野
  23 发行人 周文亮                            111.1    备件库    2022.2.18-2023.1.17
                             花园 9#3001
                          武汉市东湖新技术
              武汉地质
                          开发区左岭街未来
              资源环境
                         三路 99 号武汉地质
  24 发行人 工业技术                            84     备件库    2022.1.1-2026.12.30
                          资源环境工业技术
              研究院有
                          研究院一期 16#楼
               限公司
                                 116 室
注:上述第 4 项、第 5 项、第 6 项和第 11 项、第 17 项、第 20 项租赁房屋的出租方未提供
该等房屋的产权证书,如该等房屋的出租方在未取得产权人同意的情况下向发行人出租房
产,或未拥有该等房屋的所有权,则相应租赁合同存在效力瑕疵。但鉴于:(1)发行人租
赁上述房屋主要用于办公及备件库,并未用于生产,不属于发行人的主要生产经营场所;(2)
上述存在效力瑕疵的租赁房产面积合计为 392.71 ㎡,占公司及子公司、分支机构正在使用
的房产面积的比例较低;(3)公司及子公司、分支机构较为容易在当地找到类似替代房屋。
因而,公司及子公司、分支机构承租的部分租赁房产存在合同效力瑕疵不会对生产经营构成
重大不利影响。
此外,截至 2022 年 3 月 8 日,上述 24 项租赁房屋的相关租赁双方并未就该等租赁房屋办理
相应的租赁备案手续,不符合《商品房屋租赁管理办法》的相关规定。但依据《中华人民共
和国民法典》第七百零六条之规定,当事人未依照法律、行政法规规定办理租赁合同登记备
案手续的,不影响合同的效力。据此,上述未办理租赁合同登记备案的情形不会影响相关租
赁合同的效力。
综上,上述租赁瑕疵情况不会对公司的正常生产经营构成重大不利影响,除上述已披露的情
形外,公司及子公司、分支机构的房屋租赁合同合法、有效。


附表 3:发行人已获注册商标清单

      中国大陆地区注册商标如下:

序号      商标图形       注册号        类别     权利人   取得方式        权利期限


  1                      48881796      37       发行人   申请取得    2021.3.21-2031.3.20



  2                      42116687      37       发行人   申请取得   2020.11.28-2030.11.27


  3                      38930046       7       发行人   申请取得   2020.02.21-2030.02.20


                                              1-1-334
拓荆科技股份有限公司                                                        招股说明书



序号      商标图形     注册号     类别     权利人   取得方式        权利期限

  4                    38930045   37       发行人   申请取得   2020.02.21-2030.02.20

  5                    38930044    7       发行人   申请取得   2020.02.21-2030.02.20

  6                    38930043   37       发行人   申请取得   2020.02.21-2030.02.20

  7                    34370246    7       发行人   申请取得   2021.01.14-2031.01.13

  8                    34357464    11      发行人   申请取得   2021.01.14-2031.01.13

  9                    34346794   37       发行人   申请取得   2019.10.14-2029.10.13

  10                   30904119    7       发行人   申请取得   2019.02.21-2029.02.20

  11                   30904118   11       发行人   申请取得   2019.02.21-2029.02.20

  12                   30904117   37       发行人   申请取得   2019.02.21-2029.02.20



  13                   30904116    7       发行人   申请取得   2020.08.28-2030.08.27




  14                   30904115   11       发行人   申请取得   2020.03.28-2030.03.27




  15                   30904114   37       发行人   申请取得   2020.11.28-2030.11.27




  16                   30904113    7       发行人   申请取得   2019.06.28-2029.06.27



  17                   30904112   11       发行人   申请取得   2019.02.21-2029.02.20



  18                   30904111   37       发行人   申请取得   2019.02.21-2029.02.20



  19                   9336023     9       发行人   申请取得   2012.04.28-2022.04.27



  20                   9335971     9       发行人   申请取得   2014.06.14-2024.06.13




                                         1-1-335
拓荆科技股份有限公司                                                             招股说明书



序号      商标图形       注册号     类别     权利人   取得方式           权利期限


  21                    13894102     9       发行人   申请取得      2015.2.21-2025.2.20


  22                    48879354     7       发行人   申请取得     2021.06.07-2031.06.06


       中国台湾地区注册商标如下:

 序号     商标图形     注册号      类别     权利人    取得方式     权利期限       注册地

                                                                  2019.02.16-2
   1                   01972025     37      发行人    申请取得                 中国台湾
                                                                   029.02.15


                                                                  2018.12.16-2
   2                   01960373    7;37 发行人       申请取得                 中国台湾
                                                                   028.12.15



                                                                  2019.01.16-2
   3                   01965559     37      发行人    申请取得                 中国台湾
                                                                   029.01.15



                                                                  2019.01.16-2
   4                   01965564     37      发行人    申请取得                 中国台湾
                                                                   029.01.15


                                                                  2019.01.16-2
   5                   01965560     37      发行人    申请取得                 中国台湾
                                                                   029.01.15

                                                                  2016.03.16-2
   6                   01758765     7       发行人    申请取得                 中国台湾
                                                                   026.03.15
                                                                  2016.10.01-2
   7                   01794521     7       发行人    申请取得                 中国台湾
                                                                   026.09.30

                                                                  2016.10.01-2
   8                   01794520     7       发行人    申请取得                 中国台湾
                                                                   026.09.30

                                                                  2019.07.16-2
   9                   02000323    7;37 发行人       申请取得                 中国台湾
                                                                   029.07.15

                                                                  2021.03.16-2
  10                   02128724     37      发行人    申请取得                 中国台湾
                                                                   031.03.15


       美国注册商标如下:

 序号     商标图形      注册号     类别     权利人    取得方式     权利期限       注册地
                                                                 2020.08.31-20
   1                   6350914     7;37 发行人       申请取得                      美国
                                                                   30.08.31


                                           1-1-336
拓荆科技股份有限公司                                                              招股说明书



 序号     商标图形     注册号       类别     权利人    取得方式     权利期限       注册地


                                                                  2020.08.31-20
   2                   6350900     7;37 发行人        申请取得                     美国
                                                                    30.08.31



附表 4:发行人已获授权专利清单

       发行人国内已获授权专利如下所示:

序                                                    专利 专利申请   授权公告
   权利人     专利号             专利名称                                      取得方式
号                                                    类型     日         日
            ZL201910   陶瓷环及具有陶瓷环的半
 1 发行人                                             发明 2019.03.08 2021.06.18 原始取得
            174089.6       导体反应腔体
            ZL201811   伴随射频导入的电加热喷
 2 发行人                                             发明 2018.12.14 2021.05.18 原始取得
            530898.8     淋板及其温控系统
            ZL201510   一种半导体镀膜设备用的
 3 发行人                                             发明 2015.06.29 2020.10.30 原始取得
            367887.2   便于更换的晶圆承托机构
            ZL201510   一种带有金属夹紧结构的
 4 发行人                                             发明 2015.09.08 2020.08.28 原始取得
            566078.4         陶瓷挡板
            ZL201710
 5 发行人              晶圆承载盘及其支撑结构 发明 2017.09.22 2020.08.28 原始取得
            862974.4
                     液体汽化装置及使用该液
            ZL201810
 6 发行人            体汽化装置的半导体处理           发明 2018.01.25 2020.08.28 原始取得
            071252.1
                               系统
            ZL201510 一种多进口空腔加热支撑
 7 发行人                                             发明 2015.10.22 2020.07.31 原始取得
            694985.7             架
                     半导体镀膜设备的喷淋装
            ZL201810
 8 发行人            置、化学气相沉积设备及其         发明 2018.06.27 2020.07.03 原始取得
            678394.4
                             操作方法
            ZL201610 负载腔室及其使用该负载
 9 发行人                                             发明 2016.03.16 2020.04.24 原始取得
            153803.X 腔室之多腔室处理系统
            ZL201711
10 发行人              一种气体分流合流装置           发明 2017.11.14 2020.04.24 原始取得
            121821.0
            ZL201711 用于半导体处理腔体的遮
11 发行人                                             发明 2017.12.18 2020.04.24 原始取得
            363054.4     蔽装置及其使用方法
                     循环媒介自动控温、热传导
            ZL201510
12 发行人            气体传导温度的晶圆反应           发明 2015.10.29 2020.01.10 原始取得
            717077.5
                                 台
            ZL201710 一种用于等离子体处理设
13 发行人                                             发明 2017.08.17 2019.12.13 原始取得
            705141.7   备的 RF 讯号传递装置
            ZL201510 顶针支板调整工装结构及
14 发行人                                             发明 2015.10.13 2019.12.06 原始取得
            676243.1         调平方法
                     一种半导体加工设备的液
            ZL201711
15 发行人            体流量校准系统及校准方           发明 2017.11.29 2019.09.06 原始取得
            225305.2
                                 法
            ZL201410 薄膜沉积设备及其基材传
16 发行人                                             发明 2014.09.04 2019.07.19 继受取得
            449129.0           输装置



                                            1-1-337
拓荆科技股份有限公司                                                         招股说明书


序                                                 专利 专利申请   授权公告
   权利人     专利号          专利名称                                      取得方式
号                                                 类型     日         日
            ZL201610
17 发行人                一种阶梯结构陶瓷环        发明 2016.08.22 2019.06.28 原始取得
            695640.8
            ZL201610
18 发行人                 复合薄膜封装设备         发明 2016.08.23 2019.06.28 原始取得
            711523.6
            ZL201510   一款加热盘检验及拆装时
19 发行人                                     发明 2015.04.24 2019.04.26 原始取得
            202923.X         存放专用车
            ZL201510   一种防止腔室盖板坠落的
20 发行人                                     发明 2015.09.08 2019.04.26 原始取得
            566063.8         支撑结构
            ZL201710   一种双腔式等离子体沉积
21 发行人                                     发明 2017.05.22 2019.03.12 原始取得
            361467.2         镀膜方法
            ZL201510
22 发行人                一种原子层沉积设备        发明 2015.09.18 2019.02.01 原始取得
            595136.6
            ZL201510   加热盘阻抗测量工装及测
23 发行人                                     发明 2015.11.03 2019.02.01 原始取得
            736030.3           量方法
            ZL201410
24 发行人                  原子层沉积设备          发明 2014.09.05 2018.12.07 继受取得
            454132.1
            ZL201510   一种应用于半导体设备的
25 发行人                                     发明 2015.04.08 2018.10.23 原始取得
            162058.0   自动传片装置及控制方法
            ZL201510
26 发行人              一种倒置式推举电缸装置 发明 2015.09.14 2018.10.23 原始取得
            583323.2
            ZL201510   一种用于拉拽式开腔的电
27 发行人                                          发明 2015.09.14 2018.10.23 原始取得
            583527.6           缸装置
            ZL201510   一种传片腔室抽气管路前
28 发行人                                          发明 2015.09.17 2018.10.23 原始取得
            595228.4     端过滤碎片的结构
            ZL201510   一种晶圆承载定位机构及
29 发行人                                          发明 2015.08.21 2018.09.14 原始取得
            519459.7         安装方法
            ZL201510   一种射频等离子体设备匹
30 发行人                                          发明 2015.09.01 2018.09.14 原始取得
            556685.2           配器
            ZL201510   一种多通道喷淋装置检漏
31 发行人                                          发明 2015.10.19 2018.09.14 原始取得
            677407.2       工装及检测方法
            ZL201510   一种半导体设备中加热盘
32 发行人                                          发明 2015.04.17 2018.08.14 原始取得
            182430.4     组件质检及调试工装
            ZL201510   一种花瓣形表面结构的可
33 发行人                                          发明 2015.04.27 2018.08.14 原始取得
            209103.3         控温加热盘
            ZL201610   一种喷淋头及其等离子体
34 发行人                                          发明 2016.05.19 2018.08.14 原始取得
            340838.4         处理装置
            ZL201510   一种雪花形表面结构的可
35 发行人                                          发明 2015.04.27 2018.06.26 原始取得
            210174.5         控温加热盘
            ZL201510
36 发行人              半导体镀膜设备控温系统 发明 2015.06.25 2018.06.26 原始取得
            357861.X
            ZL201510   双层式负载腔室真空与大
37 发行人                                          发明 2015.04.28 2018.05.08 原始取得
            211918.5       气快速平衡结构
            ZL201510   X、Y 双轴联动非接触式晶
38 发行人                                          发明 2015.04.03 2018.03.23 原始取得
            157648.4      圆翘曲度测量设备
                       一种改善晶圆表面薄膜形
            ZL201510
39 发行人              貌的半导体等离子处理装      发明 2015.08.25 2018.01.23 原始取得
            527603.1
                                  置
            ZL201510   一种半导体沉积设备腔体
40 发行人                                          发明 2015.05.27 2018.01.12 原始取得
            278401.8          对接方法


                                         1-1-338
拓荆科技股份有限公司                                                       招股说明书


序                                               专利 专利申请   授权公告
   权利人     专利号        专利名称                                      取得方式
号                                               类型     日         日
                     通过调整宝石球高度获得
            ZL201510
41   发行人          不同性能氮化硅薄膜的方      发明 2015.08.12 2018.01.12 原始取得
            492800.4
                               法
            ZL201510
42   发行人            一种热盘保护装置          发明 2015.08.21 2018.01.12 原始取得
            516352.7
            ZL201510 双层式负载腔室的独立回
43   发行人                                      发明 2015.04.15 2017.11.21 原始取得
            178153.X     填及抽气结构
                     应用于薄膜沉积装置喷淋
            ZL201510
44   发行人          头中的双气路中心进气结      发明 2015.09.14 2017.11.21 原始取得
            582457.2
                               构
                     一种半导体设备控温喷淋
            ZL201510
45   发行人          装置的星形传热介质通道      发明 2015.04.01 2017.10.03 原始取得
            152481.2
                             结构
            ZL201510 一种测试喷淋头气流均匀
46   发行人                                      发明 2015.08.28 2017.09.05 原始取得
            541793.2   性的装置及测试方法
            ZL201510
47   发行人                  控温盘              发明 2015.06.25 2017.08.11 原始取得
            359908.6
            ZL201510 双层式负载腔室的回填及
48   发行人                                      发明 2015.04.15 2017.03.22 原始取得
            179038.4       抽气结构
            ZL201410
49   发行人          螺接式陶瓷环定位用销        发明 2014.05.30 2016.09.28 原始取得
            240588.8
            ZL201410 两种气体隔离式中部均匀
50   发行人                                      发明 2014.07.30 2016.09.28 原始取得
            370562.5     分气喷淋装置
            ZL201510
51   发行人          陶瓷环安装和取出工装        发明 2015.06.10 2016.09.28 原始取得
            315852.4
            ZL201410 两种气体独立均匀喷气喷
52   发行人                                      发明 2014.08.15 2016.08.24 原始取得
            406792.2         淋装置
            ZL201410
53   发行人          腔体气流方向可变结构        发明 2014.09.01 2016.08.24 原始取得
            440010.7
            ZL201410 辅助定位工装及三轴定位
54   发行人                                      发明 2014.09.28 2016.08.24 原始取得
            508728.5         方法
            ZL201310
55   发行人            等离子体处理装置          发明 2013.11.22 2016.05.04 原始取得
            602849.1
            ZL201310 不同高度的半导体镀膜设
56   发行人                                      发明 2013.05.23 2016.04.20 原始取得
            195971.1   备用销的使用方法
            ZL201310
57   发行人          晶圆输送机构及使用方法      发明 2013.05.24 2016.04.20 原始取得
            199669.3
            ZL201410
58   发行人          等离子发生器混气管路        发明 2014.04.10 2016.04.20 原始取得
            140942.X
            ZL201310
59   发行人              开放式销用支板          发明 2013.09.04 2016.03.09 原始取得
            398225.2
            ZL201310
60   发行人            封闭式销用陶瓷支板        发明 2013.04.07 2015.11.04 原始取得
            117784.1
            ZL201310 一种不等高位置晶圆托架
61   发行人                                      发明 2013.05.30 2015.11.04 原始取得
            211397.4       及使用方法
            ZL201210
62   发行人              晶圆传输系统            发明 2012.12.05 2015.09.09 原始取得
            514325.2
            ZL201210 半导体镀膜设备用分节式
63   发行人                                      发明 2012.08.14 2015.04.22 原始取得
            288972.6           销
            ZL201110
64   发行人          用喷头装置实现选择性原      发明 2011.12.16 2015.02.04 继受取得
            424784.7

                                       1-1-339
拓荆科技股份有限公司                                                           招股说明书


序                                                 专利 专利申请     授权公告
   权利人     专利号          专利名称                                        取得方式
号                                                 类型     日           日
                         子层沉积成膜的方法

            ZL201210
65 发行人                  销高度测量用块          发明 2012.09.03 2014.10.22 原始取得
            321976.X
            ZL201210   共用真空系统的双腔真空
66 发行人                                     发明 2012.09.29 2014.02.05 原始取得
            375767.3           装载腔
            ZL201210   一种安装晶圆托架的专用
67 发行人                                     发明 2012.08.01 2013.09.18 原始取得
            271694.3     工具及其安装方法
            ZL201010
68 发行人                半导体基板加工设备        发明 2010.01.15 2012.05.02 继受取得
            005335.4
            ZL200610
69 发行人              用喷头直接写线路的方法 发明 2006.04.14 2009.05.20 继受取得
            046308.5
            ZL201820   一种可以改变薄膜形貌的      实用
70 发行人                                                 2018.01.10 2018.09.11 原始取得
            036849.8        晶圆支撑装置           新型
            ZL201620   一种 OLED 器件的阻挡层      实用
71 发行人                                                 2016.07.01 2017.04.12 原始取得
            685450.3            结构               新型
            ZL201620                               实用
72 发行人                一种机械手测试平台               2016.05.05 2016.09.28 原始取得
            396190.8                               新型
            ZL201620                               实用
73 发行人                 一种传片通道衬套                2016.02.04 2016.08.17 原始取得
            114034.8                               新型
            ZL201520                               实用
74 发行人                 一种可升降手推车                2015.05.18 2016.08.03 原始取得
            317354.9                               新型
            ZL201520   一种防止半导体镀膜设备      实用
75 发行人                                                 2015.08.25 2016.07.20 原始取得
            646553.4   反应腔室内打火的装置        新型
            ZL201520   一种半导体设备用加热盘      实用
76 发行人                                                 2015.10.16 2016.04.20 原始取得
            805012.1         防护结构              新型
            ZL201520   半导体镀膜设备反应腔用      实用
77 发行人                                                 2015.08.21 2016.03.09 原始取得
            639274.5         新型喷淋板            新型
            ZL201520   一种双电缸垂直升降的开      实用
78 发行人                                                 2015.09.14 2016.03.09 原始取得
            710956.0           腔结构              新型
            ZL201520                               实用
79 发行人              加热盘水平测量工装结构             2015.09.23 2016.03.09 原始取得
            743164.3                               新型
            ZL201520   一种半导体设备喷淋板加      实用
80 发行人                                                 2015.09.24 2016.03.09 原始取得
            748956.X           热装置              新型
            ZL201520   一种薄膜沉积设备低温控      实用
81 发行人                                                 2015.09.24 2016.03.09 原始取得
            753083.1           制结构              新型
            ZL201520                               实用
82 发行人                一种新型加热盘装置               2015.10.14 2016.03.09 原始取得
            797612.8                               新型
            ZL201520   用于半导体等离子体设备      实用
83 发行人                                                 2015.10.16 2016.03.09 原始取得
            805580.1     中的水平调整装置          新型
            ZL201520   一种半导体镀膜设备用新      实用
84 发行人                                                 2015.10.13 2016.03.09 原始取得
            808076.7       型顶针工装结构          新型
            ZL201520   一种应用于半导体设备的      实用
85 发行人                                                 2015.10.16 2016.03.09 原始取得
            808648.1       气弹簧安装支座          新型
            ZL201520   半导体设备的上盖板胶圈      实用
86 发行人                                                 2015.10.20 2016.03.09 原始取得
            816547.9         检漏装置              新型
            ZL201520   一种可支撑半导体等离子      实用
87 发行人                                                 2015.10.21 2016.03.09 原始取得
            818106.2   体反应腔体的机架装置        新型


                                         1-1-340
拓荆科技股份有限公司                                                           招股说明书


序                                                 专利   专利申请   授权公告
   权利人     专利号          专利名称                                        取得方式
号                                                 类型       日         日
             ZL201520   一种半导体等离子体处理     实用
88 发行人                                                 2015.10.22 2016.03.09 原始取得
             821306.3   装置的上盖板开启装置       新型
             ZL201520   一种半导体设备中用于屏     实用
89 发行人                                                 2015.10.21 2016.03.09 原始取得
             821972.7     蔽成膜区防打火机构       新型
             ZL201520   一种新型负载腔体快速抽     实用
90 发行人                                                 2015.10.23 2016.03.09 原始取得
             829090.5           气结构             新型
             ZL201520   半导体沉积设备用锁紧装     实用
91 发行人                                                 2015.05.27 2016.01.20 原始取得
             347037.1             置               新型
             ZL201520                              实用
92 发行人                 一种安装及校准工具              2015.06.29 2016.01.20 原始取得
             455971.5                              新型
             ZL201520                              实用
93 发行人                  一种新型抽气管路               2015.05.18 2015.12.30 原始取得
             317367.6                              新型
             ZL201520                              实用
94 发行人                  一种运输工装设备               2015.05.20 2015.12.30 原始取得
             327981.0                              新型
             ZL201520                              实用
95 发行人                  一种晶圆承托结构               2015.06.29 2015.12.30 原始取得
             455915.1                              新型
             ZL201520                              实用
96 发行人                   晶圆压紧结构                  2015.07.13 2015.12.30 原始取得
             502627.7                              新型
             ZL201520                              实用
97 发行人               矢量网络分析仪测试工装            2015.07.20 2015.12.30 原始取得
             527443.6                              新型
             ZL201520                              实用
98 发行人                   晶圆输送装置                  2015.07.20 2015.12.30 原始取得
             527507.2                              新型
             ZL201520   一种电加热反应源储存及     实用
99 发行人                                                 2015.07.23 2015.12.30 原始取得
             546543.3         供应装置             新型
             ZL201520                              实用
100 发行人                 设备装调移动工装               2015.07.27 2015.12.30 原始取得
             549366.4                              新型
             ZL201520   一种高产能半导体薄膜沉     实用
101 发行人                                                2015.07.29 2015.12.30 原始取得
             555722.3           积设备             新型
             ZL201520                              实用
102 发行人              波纹管实际寿命检测装置            2015.08.03 2015.12.30 原始取得
             575581.1                              新型
             ZL201520 一种避免空心阴极放电的       实用
103 发行人                                        2015.08.25         2015.12.30 原始取得
             646244.7         喷淋头               新型
             ZL201520 一种改善晶圆表面薄膜形       实用
104   发行人                                      2015.08.25         2015.12.30 原始取得
             646274.8     貌的斜坡陶瓷环           新型
             ZL201520 半导体设备用密封性能检       实用
105   发行人                                      2015.08.25         2015.12.30 原始取得
             646968.1         验机构               新型
             ZL201520 一种气相沉积膜设备用抽       实用
106   发行人                                      2015.05.20         2015.11.11 原始取得
             328483.8       气陶瓷环               新型
                      一种气相沉积设备反应气
             ZL201520                        实用
107   发行人          体集中控制系统的辅助装      2015.06.17         2015.11.11 原始取得
             420234.1                        新型
                                置
             ZL201520                        实用
108   发行人          可更换的晶圆承托结构        2015.06.29         2015.11.11 原始取得
             455222.2                        新型
             ZL201520 一种气相沉积膜设备用射 实用
109   发行人                                      2015.05.21         2015.11.04 原始取得
             328874.X       频导入系统       新型
             ZL201520                        实用
110   发行人          一种新型抽气管路连接件      2015.05.19         2015.11.04 原始取得
             329524.5                        新型


                                         1-1-341
拓荆科技股份有限公司                                                            招股说明书


序                                                  专利   专利申请   授权公告
   权利人     专利号           专利名称                                        取得方式
号                                                  类型       日         日
             ZL201520                               实用
111 发行人                 腔体支撑专用工具                2015.05.26 2015.11.04 原始取得
             346370.0                               新型
             ZL201520                               实用
112 发行人                  显示器移动支架                 2015.05.26 2015.11.04 原始取得
             348267.X                               新型
             ZL201520   一种简易型手动式气动元      实用
113 发行人                                                 2015.05.29 2015.11.04 原始取得
             362576.2         件控制装置            新型
             ZL201520   一种新型加热盘与腔体定      实用
114 发行人                                                 2015.05.29 2015.11.04 原始取得
             364144.5           位工装              新型
             ZL201520   双层机械手的安装定位工      实用
115 发行人                                                 2015.04.13 2015.09.09 原始取得
             217190.2             装                新型
             ZL201520   半导体镀膜设备反应模块      实用
116 发行人                                                 2015.04.13 2015.09.09 原始取得
             217252.X       用的透明观察窗          新型
             ZL201520   一种气相沉积膜设备用辐      实用
117 发行人                                                 2015.05.21 2015.09.09 原始取得
             336879.7         射屏蔽装置            新型
             ZL201420   三种气体隔离式单匀气室      实用
118 发行人                                                 2014.07.30 2015.02.04 原始取得
             426472.9         喷淋装置              新型
             ZL201420   三种气体隔离式双匀气室      实用
119 发行人                                                 2014.07.30 2015.02.04 原始取得
             426501.1         喷淋装置              新型
             ZL201420   一种紧凑型布局的薄膜沉      实用
120 发行人                                                 2014.08.25 2015.02.04 原始取得
             482962.0           积设备              新型
             ZL201420                               实用
121 发行人                  原子层沉积设备                 2014.09.04 2015.01.07 继受取得
             508941.1                               新型
             ZL201420   薄膜沉积设备及其基材传      实用
122 发行人                                                 2014.09.04 2015.01.07 继受取得
             509410.4           输装置              新型
             ZL201420                               实用
123 发行人                  原子层沉积设备                 2014.09.05 2015.01.07 继受取得
             513408.4                               新型
             ZL201420   一种半导体镀膜设备采用      实用
124 发行人                                                 2014.06.30 2014.12.03 原始取得
             357522.2       的晶圆陶瓷柱            新型
             ZL201420                               实用
125 发行人                   气体扩散装置                  2014.07.10 2014.12.03 原始取得
             378899.6                               新型
             ZL201420   宝石柱存放、测量、标识工    实用
126 发行人                                                 2014.07.10 2014.12.03 原始取得
             379260.X             装                新型
             ZL201420   半导体镀膜设备用高温观      实用
127 发行人                                                 2014.04.22 2014.10.22 原始取得
             198568.4           察盖板              新型
             ZL201420                               实用
128 发行人              手握式晶圆支架校准工装             2014.05.13 2014.10.22 原始取得
             244421.4                               新型
             ZL201320   一种纵横交错孔式多气体      实用
129 发行人                                                 2013.10.31 2014.04.23 原始取得
             682537.1     独立通道的喷淋结构        新型
             ZL201320   一种波浪式多气体独立通      实用
130 发行人                                                 2013.10.31 2014.04.23 原始取得
             682574.2       道的喷淋结构            新型
             ZL201320   一种纵横交错沟槽式多气      实用
131 发行人                                                 2013.10.31 2014.04.23 原始取得
             683055.8   体独立通道的喷淋结构        新型
             ZL201320   一种涡状线式多气体独立      实用
132 发行人                                                 2013.10.31 2014.04.23 原始取得
             684941.2       通道的喷淋结构          新型
             ZL201320   一种四分区式多气体独立      实用
133 发行人                                                 2013.10.31 2014.04.23 原始取得
             685738.7       通道的喷淋结构          新型
             ZL201320   一种同心环式多气体独立      实用
134 发行人                                                 2013.10.31 2014.04.23 原始取得
             686908.3       通道的喷淋结构          新型

                                          1-1-342
拓荆科技股份有限公司                                                            招股说明书


序                                                  专利   专利申请   授权公告
   权利人     专利号           专利名称                                        取得方式
号                                                  类型       日         日
             ZL201320                               实用
135 发行人               一种新型晶圆承载装置              2013.11.01 2014.04.23 原始取得
             687868.4                               新型
             ZL201320   等离子体气相薄膜沉积设      实用
136 发行人                                                 2013.05.29 2013.12.18 原始取得
             304672.2     备的附属前端模块          新型
             ZL201320                               实用
137 发行人                   单腔镀膜设备                  2013.07.12 2013.12.18 原始取得
             414050.5                               新型
             ZL201320   一种带有传片腔的单反应      实用
138 发行人                                                 2013.05.17 2013.11.13 原始取得
             272540.6       腔薄膜沉积设备          新型
             ZL201320                               实用
139 发行人                  机械手定位装置                 2013.03.19 2013.09.18 原始取得
             126868.7                               新型
             ZL201220                               实用
140 发行人              带加热功能的真空装载腔             2012.09.29 2013.04.24 原始取得
             509946.7                               新型
             ZL201220                               实用
141 发行人                 一种晶圆载台结构                2012.08.29 2013.03.27 原始取得
             435143.1                               新型
             ZL201220   一种手动加载晶圆载台的      实用
142 发行人                                                 2012.08.29 2013.03.13 原始取得
             435287.7           结构                新型
             ZL201220                               实用
143 发行人              一种新型铝制晶圆加热盘             2012.09.03 2013.03.13 原始取得
             445614.7                               新型
             ZL201220                               实用
144 发行人                  销高度检测用块                 2012.09.03 2013.03.13 原始取得
             445914.5                               新型
             ZL201930                               外观
145 发行人                    薄膜沉积机                   2019.12.05 2020.07.03 原始取得
             676610.7                               设计
             ZL201910   具有接点阵列的晶圆加热
146 发行人                                     发明 2019-05-31 2021-09-03 原始取得
             467555.X             座
             ZL201910
147 发行人              一种晶圆转移及测量系统 发明 2019-01-28 2021-09-03 原始取得
             077771.3
             ZL201910   一套防止加热盘边缘热量
148 发行人                                     发明 2019-07-23 2021-08-10 原始取得
             663986.3       损失的保温罩
             ZL201810   具有加热机制的晶圆座及
149 发行人                                     发明 2018-05-03 2021-08-06 原始取得
             413913.4   包含该晶圆座的反应腔体
             ZL201911   加热装置及温度控制喷淋
150 发行人                                     发明 2019-12-04 2021-07-09 原始取得
             226243.6           组件
             ZL201910
151 发行人                半导体薄膜沉积设备        发明 2019-03-19 2021-07-06 原始取得
             205635.8
             ZL201810   一种提高射频导通能力及
152 发行人                                     发明 2018-04-28 2021-11-12 原始取得
             400714.X     密封效果的加热盘
             ZL201910
153 发行人                   多段喷淋组件           发明 2019-05-15 2022-1-14 原始取得
             401332.3

     发行人在其他国家或地区已获授权专利如下:

                                                       专利
序 专利                                         专利                                 取得
              专利号          专利名称                 保护    申请日期   授权日期
号 权人                                         类型                                 方式
                                                       地区
     发行             喷淋结构及化学源供 发明          中国                       原始
 1        TW108125859                                       2019.07.22 2020.11.01
     人                     给系统       专利          台湾                       取得
     发行                                       发明   中国                       原始
 2        TW108125855       晶圆处理装置                    2019.07.22 2020.09.11
     人                                         专利   台湾                       取得

                                          1-1-343
拓荆科技股份有限公司                                                         招股说明书


                                                      专利
序 专利                                        专利                                取得
              专利号          专利名称                保护   申请日期   授权日期
号 权人                                        类型                                方式
                                                      地区
     发行             具有静电力抑制的基 发明         中国                       原始
 3        TW108125375                                      2019.07.18 2020.09.11
     人                   板承载装置     专利         台湾                       取得
                    用于等离子体处理设
   发行                                发明           中国                       原始
 4      TW106136726 备的 RF 讯号传递装                     2017.10.25 2020.08.21
   人                                  专利           台湾                       取得
                            置
     发行                                      发明   中国                       原始
 5        TW108119619       晶圆传输装置                   2019.06.06 2020.08.21
     人                                        专利   台湾                       取得
                       A CERAMIC RING
   发行 US 10,643,882    WITH LADDER    发明                                       原始
 6                                                    美国 2017.08.18 2020.05.05
   人        B2       STRUCTURE(一种 专利                                         取得
                       阶梯结构陶瓷环)
                    具有加热机制之晶圆
   发行                                发明           中国                       原始
 7      TW108106531 座及包含该晶圆座的                     2019.02.26 2020.04.01
   人                                  专利           台湾                       取得
                        反应腔体
     发行             热隔离之晶圆支撑装 发明         中国                       原始
 8        TW108110364                                      2019.03.26 2020.02.11
     人                 置及其制造方法   专利         台湾                       取得
                              WAFFER
                           PEDESTAL AND
     发行 US 10,410,909                        发明                                原始
 9                           SUPPORT                  美国 2018.09.17 2019.09.10
     人        B2                              专利                                取得
                            STRUCTURE
                             THEREOF
                    液体汽化装置及使用
   发行                                发明           中国                       原始
10      TW107113431 该液体汽化装置的半                     2018.04.20 2019.06.01
   人                                  专利           台湾                       取得
                      导体处理系统
                    用于半导体处理腔体
   发行                                发明           中国                       原始
11      TW107113432 的遮蔽装置及其使用                     2018.04.20 2019.04.11
   人                                  专利           台湾                       取得
                          方法
     发行             晶圆承载盘及其支撑 发明         中国                       原始
12        TW107113433                                      2018.04.20 2019.02.11
     人                     结构         专利         台湾                       取得
                          负载腔室及其使用该
   发行                                      发明     中国                       原始
13          TWI634612     负载腔室之多腔室处               2016.04.21 2018.09.01
   人                                        专利     台湾                       取得
                                理系统
     发行                 喷淋头及其电浆处理 发明     中国                       原始
14          TWI605149                                      2016.06.22 2017.11.11
     人                         装置         专利     台湾                       取得
     发行                                      发明   中国                       原始
15          TWI604558     一种阶梯结构陶瓷环               2016.11.14 2017.11.01
     人                                        专利   台湾                       取得
     发行                                      发明   中国                       原始
16          TWI547976       电浆处理装置                   2014.01.17 2016.09.01
     人                                        专利   台湾                       取得
                             LOAD LOCK
   发行 US 10,497,591                          发明                                原始
17                         CHAMBER AND                美国 2016.09.16 2019.12.03
   人        B2                                专利                                取得
                            THE CLUSTER

                                         1-1-344
拓荆科技股份有限公司                                                       招股说明书


                                                    专利
序 专利                                      专利                                取得
              专利号        专利名称                保护   申请日期   授权日期
号 权人                                      类型                                方式
                                                    地区
                         TOOL SYSTEM
                        USING THE SAME
     发行               陶瓷环及具有陶瓷环 发明     中国                       原始
18          TWI735914                                    2019.07.18 2021.08.11
     人                   的半导体反应腔体 专利     台湾                       取得
     发行               具有接点阵列的晶圆 发明     中国                       原始
19          TWI734285                                    2019.12.05 2021.07.21
     人                       加热座       专利     台湾                       取得
     发行            用於半導體射頻處理 发明        中国                       原始
20        TWI741306B                                     2019.06.06 2021.10.01
     人              裝置之溫度測量方法 专利        台湾                       取得
                        Wafer susceptor
                         apparatus with
   发行              thermal insulation and 发明                                 原始
21      US11088012B2                                美国 2019.04.05 2021.08.10
   人                      method for       专利                                 取得
                       manufacturing the
                              same


附表 5:与投资者保护相关的承诺

      发行人及相关主体与投资者保护相关的承诺如下:

     (一)本次发行前股东所持股份的限售安排、自愿锁定股份、延长锁定期限的承诺
1、股东国家集成电路基金、国投上海关于股份锁定的承诺
“(1)自发行人股票上市之日起 36 个月内,本公司/本企业不转让或者委托他人管理本公
司/本企业直接或间接持有的发行人在本次发行上市前已发行的股份,也不提议由发行人回
购该部分股份。
(2)如本公司/本企业违反上述承诺或法律法规的强制性规定减持发行人股份的,本公司/
本企业将根据中国证监会和所上市证券交易所的规定承担相关责任。”
2、直接持股的董事或高级管理人员、核心技术人员姜谦、吕光泉、张孝勇关于股份锁定的
承诺
“(1)自发行人股票上市之日起 36 个月内,本人不转让或者委托他人管理本人直接或间接
持有的发行人在本次发行上市前已发行的股份(简称“首发前股份”),也不提议由发行人
回购该部分股份。
(2)在发行人实现盈利前,自发行人股票上市之日起 3 个完整会计年度内,不转让或者委
托他人管理本人持有的首发前股份,也不提议由发行人回购该部分股份;前述 3 个完整会计
年度内,在发行人实现盈利后,本人可以自发行人当年年度报告披露后次日与发行人股票上
市之日起 36 个月届满之日中较晚之日起减持本人持有的首发前股份。
(3)发行人上市后 6 个月内,如其股票连续 20 个交易日的收盘价均低于本次发行上市时发
行人股票的发行价(简称“发行价”,若发行人在本次发行上市后发生派息、送股、资本公
积转增股本等除权除息事项的,应对发行价进行除权除息处理,下同),或者上市后 6 个月
期末(如该日不是交易日,则为该日后第一个交易日)收盘价低于发行价,本人持有的首发
前股份的锁定期限将自动延长 6 个月。


                                       1-1-345
拓荆科技股份有限公司                                                   招股说明书


(4)在上述锁定期届满后两年内,本人减持首发前股份的,减持价格不低于发行价。
(5)上述锁定期届满后,本人在担任发行人的董事、监事或高级管理人员期间,每年转让
的发行人股份不超过本人持有发行人股份总数的 25%;离职后半年内,本人不转让本人持
有的发行人股份。
(6)上述锁定期届满之日起 4 年内,本人每年转让的首发前股份不超过发行人上市时本人
所持首发前股份总数的 25%,减持比例可以累积使用。
(7)如本人违反上述承诺或法律强制性规定减持发行人股份的,本人承诺违规减持发行人
股票所得(以下简称“违规减持所得”)归发行人所有。如本人未将违规减持所得上缴发行
人,则发行人有权将应付本人现金分红中与违规减持所得相等的金额收归发行人所有。上述
股份锁定、减持价格承诺不因本人职务变更、离职等原因而终止。”
3、股东刘忆军、凌复华、吴飚、周仁、张先智关于股份锁定的承诺
“(1)自发行人股票上市之日起 36 个月内,本人不转让或者委托他人管理本人直接或间接
持有的发行人在本次发行上市前已发行的股份,也不提议由发行人回购该部分股份。
(2)如本人违反上述承诺或法律强制性规定减持发行人股份的,本人承诺违规减持发行人
股票所得归发行人所有。如本人未将违规减持所得上缴发行人,则发行人有权将应付本人现
金分红中与违规减持所得相等的金额收归发行人所有。上述股份锁定、减持价格承诺不因本
人职务变更、离职等原因而终止。”
4、芯鑫和等 11 个员工持股平台关于股份锁定的承诺
“(1)自发行人股票上市之日起 36 个月内,本企业不转让或者委托他人管理本企业直接或
间接持有的发行人在本次发行上市前已发行的股份,也不提议由发行人回购该部分股份。
(2)如本企业违反上述承诺或法律强制性规定减持发行人股份的,本企业承诺违规减持发
行人股票所得(以下简称“违规减持所得”)归发行人所有。如本企业未将违规减持所得上
缴发行人,则发行人有权将应付本企业现金分红中与违规减持所得相等的金额收归发行人所
有。”
5、其他股东关于股份锁定的承诺
“(1)自发行人股票上市之日起 12 个月内,本公司/本企业不转让或者委托他人管理本公
司/本企业直接或间接持有的发行人在本次发行上市前已发行的股份,也不提议由发行人回
购该部分股份。
(2)如本公司/本企业违反上述承诺或法律强制性规定减持发行人股份的,本公司/本企业承
诺违规减持发行人股票所得(以下简称“违规减持所得”)归发行人所有。如本公司/本企
业未将违规减持所得上缴发行人,则发行人有权将应付本公司/本企业现金分红中与违规减
持所得相等的金额收归发行人所有。”
                       (二)关于持股意向及减持意向的承诺
1、国家集成电路基金承诺
“(1)减持股份的条件
本公司作为发行人的主要股东,严格按照公司首次公开发行股票招股说明书及本公司出具的
承诺载明的各项锁定期限要求,并严格遵守相关法律、法规、规范性文件规定及监管要求,
在锁定期内不减持持有发行人的股份。
(2)减持股份的方式
锁定期届满后,本公司拟减持发行人股份的,应按照相关法律法规及上海证券交易所的规则
要求进行减持,且不违反本公司已作出的承诺,减持方式包括但不限于二级市场集中竞价交
易、大宗交易、协议转让等方式。
(3)减持股份的价格
本公司减持所持有的发行人股份的价格参考当时的二级市场价格确定,并应符合相关法律法

                                     1-1-346
拓荆科技股份有限公司                                                   招股说明书


规及上海证券交易所规则要求。
(4)减持股份的期限
本公司持有的发行人股份的锁定期限(包括延长的锁定期)届满后,在本公司所持发行人股
份数量占发行人股份总数的比例不低于 5%期间,本公司减持所持发行人股份时,应提前将
减持意向和拟减持数量等信息以书面方式通知发行人,并由发行人及时予以公告,自发行人
公告之日起 3 个交易日后,本公司方可减持发行人股份,且每次披露的减持时间区间不超过
6 个月,并按照证券交易所的规则及时、准确地履行信息披露义务。
(5)遵守届时有关法律、法规、规章和规则的相关规定
在本公司进行减持行为时,本公司亦将遵守本公司届时应遵守的相关法律、法规、规章以及
中国证监会或者发行人所上市的交易所关于股东减持股份的相关规定。
(6)严格履行上述承诺事项
本公司将严格履行上述承诺事项,如果未履行上述承诺事项,将根据中国证监会和证券交易
所的规定承担相关责任。”
2、国投上海、中微公司、嘉兴君励、润扬嘉禾承诺
“(1)减持股份的条件
本企业/本公司作为发行人的主要股东,严格按照公司首次公开发行股票招股说明书及本企
业/本公司出具的承诺载明的各项锁定期限要求,并严格遵守相关法律、法规、规范性文件
规定及监管要求,在锁定期内不减持持有发行人的股份。
(2)减持股份的方式
锁定期届满后,本企业/本公司拟减持发行人股份的,应按照相关法律法规及上海证券交易
所的规则要求进行减持,且不违反本公司/本企业已作出的承诺,减持方式包括但不限于二
级市场集中竞价交易、大宗交易、协议转让等方式。
(3)减持股份的价格
本企业/本公司减持所持有的发行人股份的价格根据当时的二级市场价格确定,并应符合相
关法律法规及上海证券交易所规则要求。
(4)减持股份的期限
本企业/本公司持有的发行人股份的锁定期限(包括延长的锁定期)届满后,在本企业/本公
司所持发行人股份数量占发行人股份总数的比例不低于 5%期间,本企业/本公司减持所持发
行人股份时,应提前将减持意向和拟减持数量等信息以书面方式通知发行人,并由发行人及
时予以公告,自发行人公告之日起 3 个交易日后,本企业/本公司方可减持发行人股份,自
公告之日起 6 个月内完成,并按照证券交易所的规则及时、准确地履行信息披露义务。
(5)遵守届时有关法律、法规、规章和规则的相关规定
在本企业/本公司进行减持行为时,本企业/本公司亦将遵守本企业/本公司届时应遵守的相关
法律、法规、规章以及中国证监会或者发行人所上市的交易所关于股东减持股份的相关规定。
(6)严格履行上述承诺事项
本企业/本公司将严格履行上述承诺事项,如果未履行上述承诺事项,将根据中国证监会和
证券交易所的规定承担相关责任。”
3、姜谦及其一致行动人承诺
姜谦及其一致行动人合计持有发行人 5%以上股份,各方就发行人股份的持股意向及减持意
向事宜出具了《关于在拓荆科技股份有限公司首次公开发行股票并上市前所持有股份的持股
意向及减持意向的承诺函》,主要内容如下:
“(1)减持股份的条件
本人/本企业将严格按照公司首次公开发行股票招股说明书及出具的承诺载明的各项锁定期
限要求,并严格遵守相关法律、法规、规范性文件规定及监管要求,在锁定期内不减持持有


                                     1-1-347
拓荆科技股份有限公司                                                   招股说明书


发行人的股份。
(2)减持股份的方式
锁定期届满后,本人/本企业拟减持发行人股份的,应按照相关法律法规及上海证券交易所
的规则要求进行减持,且不违反本人/本企业已作出的承诺,减持方式包括但不限于二级市
场集中竞价交易、大宗交易、协议转让等方式。
(3)减持股份的价格
本人/本企业减持所持有的发行人股份的价格根据当时的二级市场价格确定,并应符合相关
法律法规及上海证券交易所规则要求。
(4)减持股份的期限
本人/本企业持有的发行人股份的锁定期限(包括延长的锁定期)届满后,在本人/本企业与
姜谦及其一致行动人所持发行人股份数量占发行人股份总数的比例不低于 5%期间,本人/
本企业减持所持发行人股份时,应提前将减持意向和拟减持数量等信息以书面方式通知发行
人,并由发行人及时予以公告,自发行人公告之日起 3 个交易日后,本人/本企业方可减持
发行人股份,自公告之日起 6 个月内完成,并按照证券交易所的规则及时、准确地履行信息
披露义务。
(5)遵守届时有关法律、法规、规章和规则的相关规定
在本人/本企业进行减持行为时,本人/本企业亦将遵守本人/本企业届时应遵守的相关法律、
法规、规章以及中国证监会或者发行人所上市的交易所关于股东减持股份的相关规定。
(6)严格履行上述承诺事项
本人/本企业将严格履行上述承诺事项,如果未履行上述承诺事项,将根据中国证监会和证
券交易所的规定承担相关责任。”
                          (三)稳定股价的措施和承诺
1、稳定股价的措施
拓荆科技制订了《拓荆科技股份有限公司关于上市后稳定股价的预案》(以下简称“本预
案”),并由公司 2021 年第二次临时股东大会审议通过。
(1)启动稳定股价措施的条件
在公司股票上市后三年内,如非因不可抗力因素所致,公司股票连续 20 个交易日(第 20
个交易日为“触发稳定股价措施日”;该等 20 个交易日的期限自公司披露最近一期经审计
的净资产之日起开始计算,如期间公司披露了新的最近一期经审计的净资产,则该等 20 个
交易日的期限需自公司披露新的最近一期经审计的净资产之日起重新开始计算)的收盘价低
于公司披露的最近一期经审计的每股净资产(最近一期审计基准日后,如有分红、派息、送
股、资本公积金转增股本、增发、配股等导致公司净资产或股份总数出现变化的事项的,则
相应调整每股净资产,下同),公司董事会将根据本预案在十个交易日内制订稳定股价具体
方案并公告,并在履行完毕内部决策程序和外部审批/备案程序(如需)后实施。
公司、董事、高级管理人员等相关主体将依照审批通过的稳定股价具体方案启动稳定公司股
价的措施。
(2)原则
股价稳定措施的确定及其实施应坚持以下原则:①有利于保护中小股东利益;②不应导致公
司不符合法定上市条件;③不应导致公司、相关参与方违反法律法规和证券交易所规范性的
文件的规定;④决策程序和实施程序合法合规;⑤按规定履行信息披露。
(3)稳定股价的具体措施
公司、董事、高级管理人员等相关主体将依照审批通过的稳定股价具体方案,酌情采取一项
或同时采取多项措施以稳定公司股价:
①公司稳定股价的措施

                                     1-1-348
拓荆科技股份有限公司                                                   招股说明书


a、积极与投资者沟通:公司可在触发稳定股价措施日起的 10 个交易日内,组织公司的业绩
发布会或业绩路演等投资者沟通活动,积极与投资者就公司经营业绩和财务状况进行沟通。
b、公司回购股份:公司可根据届时有效的法律法规规定向社会公众股东回购部分公司股份,
同时保证回购结果不会导致公司的股权分布不符合上市条件。公司董事会将在触发稳定股价
措施日起的 30 个交易日内,履行关于股份回购的内部决策程序。在履行内部决策程序后,
公司将根据《公司法》及公司章程的规定履行回购股份相关程序。公司回购股份的方式为集
中竞价交易方式、要约方式或证券监督管理部门认可的其他方式。公司用于回购股份的资金
总额原则上不超过公司上一年度实现的归属于母公司所有者净利润的 10%且回购的价格原
则上不超过公司最近一期经审计的每股净资产(最近一期审计基准日后,因利润分配、资本
公积金转增股本、增发、配股等情况导致公司净资产、股份总数出现变化的,每股净资产相
应进行调整)。
②董事、高级管理人员稳定股价的措施
公司董事(独立董事除外)、高级管理人员将根据稳定股价具体方案,通过证券交易所以集
中竞价交易方式增持公司社会公众股份。用于增持公司股份的资金额不低于公司董事(独立
董事除外)、高级管理人员上一年度从公司领取税后薪酬或津贴的 20%,但不超过 50%。
增持的价格原则上不超过公司最近一期经审计的每股净资产(最近一期审计基准日后,因利
润分配、资本公积金转增股本、增发、配股等情况导致公司净资产、股份总数出现变化的,
每股净资产相应进行调整),增持完成后的六个月内将不出售所增持的股份。
(4)终止情形
自触发稳定股价措施日起,若出现以下任一情形,则已公告的稳定股价方案终止执行:
①公司股票收盘价连续 5 个交易日的收盘价均不低于最近一期经审计的每股净资产(最近一
期审计基准日后,因利润分配、资本公积金转增股本、增发、配股等情况导致公司净资产或
股份总数出现变化的,每股净资产相应进行调整);
②继续执行稳定股价方案将导致公司股权分布不符合上市条件或将违反当时有效的相关禁
止性规定的。
2、稳定股价的承诺
(1)发行人承诺
发行人就本次发行上市后的稳定股价措施的相关事宜出具了《拓荆科技股份有限公司关于上
市后稳定股价措施的声明承诺》,主要内容如下:
“①本公司认可相关董事会和股东大会审议通过的《拓荆科技股份有限公司关于上市后稳定
股价的预案》(下称“《预案》”)中规定的稳定股价措施,已经完全知悉和明白该等措施
的内容和法律效力。
②本公司将无条件遵守《预案》中的相关规定,履行《预案》中涉及本公司的各项义务。”
(2)公司非独立董事承诺
公司非独立董事就公司本次发行上市后稳定股价措施的相关事宜出具了《关于拓荆科技股份
有限公司上市后稳定股价措施的声明承诺》,主要内容如下:
“①本人已经审阅发行人相关董事会和股东大会审议通过的《拓荆科技股份有限公司关于上
市后稳定股价的预案》(下称“《预案》”)中规定的稳定股价措施,已经完全知悉和明白
该等措施的内容和法律效力,本人愿意遵守。
②在触发启动稳定股价措施的条件后,本人将积极履行董事义务,促使董事会依据《预案》
规定及时召开董事会会议并提出符合《预案》规定的有关稳定股价具体措施的议案,并促使
董事会及时履行内部决策程序对相关议案进行审议和表决。
③在发行人董事会对有关稳定股价具体措施的议案进行审议和表决时,本人将依法对董事会
提出的符合《预案》规定的稳定股价具体措施的议案投赞成票。


                                     1-1-349
拓荆科技股份有限公司                                                    招股说明书


④在有关稳定股价具体措施的议案经发行人内部决策程序审议通过后,如相关措施包括发行
人董事增持发行人的股票的,本人将按照相关决议内容和《预案》规定的方式,实施稳定股
价措施。
⑤本人同意接受和遵守如下约束措施:如本人应采取稳定股价措施而未采取的,本人将在股
东大会及中国证监会指定报刊上公开说明未采取上述稳定股价措施的具体原因并致歉。”
(3)公司独立董事承诺
公司独立董事就公司本次发行上市后稳定股价措施的相关事宜出具了《关于拓荆科技股份有
限公司上市后稳定股价措施的声明承诺》,主要内容如下:
“①本人已经审阅发行人相关董事会和股东大会审议通过的《拓荆科技股份有限公司关于上
市后稳定股价的预案》(下称“《预案》”)中规定的稳定股价措施,已经完全知悉和明白
该等措施的内容和法律效力。
②在触发启动稳定股价措施的条件后,本人将积极履行独立董事义务,促使董事会依据《预
案》规定及时召开董事会会议并提出符合《预案》规定的有关稳定股价的详细措施的议案,
并促使董事会及时履行内部决策程序对相关议案进行审议和表决。
③在发行人董事会对有关稳定股价具体措施的议案进行审议和表决时,本人将依法对董事会
提出的符合《预案》规定的稳定股价具体措施的议案投赞成票。”
(4)公司高级管理人员承诺
公司高级管理人员就公司本次发行上市后稳定股价措施的相关事宜出具了《关于拓荆科技股
份有限公司上市后稳定股价措施的声明承诺》,主要内容如下:
“①本人已经审阅发行人相关董事会和股东大会审议通过的《拓荆科技股份有限公司上关于
上市后稳定股价的预案》(下称“《预案》”)中规定的稳定股价措施,已经完全知悉和明
白该等措施的内容和法律效力,本人愿意遵守。
②在有关稳定股价具体措施的议案经发行人内部决策程序审议通过后,如相关措施包括发行
人高级管理人员增持发行人股票的,本人将按照相关决议内容和《预案》规定的方式,实施
稳定股价措施。
③本人同意接受和遵守如下约束措施:如本人应采取稳定股价措施而未采取的,本人将在股
东大会及中国证监会指定报刊上公开说明未采取上述稳定股价措施的具体原因并致歉;同
时,发行人有权对本人的薪酬、津贴予以扣留,直至本人履行上述稳定股价具体措施。”
             (四)对欺诈发行上市及其他事项的股份回购和股份购回的承诺
1、发行人承诺
发行人就欺诈发行上市及其他事项涉及的股份回购和股份购回事宜出具《拓荆科技股份有限
公司关于不存在欺诈发行及招股说明书不存在虚假记载、误导性陈述或重大遗漏之声明承诺
函》,主要内容如下:
“(1)本公司确认,本次发行上市不存在欺诈发行的情形,本次发行上市的招股说明书不
存在虚假记载、误导性陈述或重大遗漏,并对其真实性、准确性、完整性承担法律责任。
(2)若中国证监会、证券交易所或有权机构认定本公司本次发行上市的招股说明书有虚假
记载、误导性陈述或者重大遗漏,或本公司存在欺诈发行的情形,导致对判断本公司是否符
合法律规定的发行条件构成重大、实质影响的,本公司将在该等有权部门作出前述认定后五
个工作日内启动股份购回程序,依法回购首次公开发行的全部新股,回购价格不低于本公司
股票发行价,并根据相关法律、法规及本公司章程规定的程序实施。上述回购实施时法律法
规另有规定的,从其规定。若本公司在本次发行上市后有派息、送股、资本公积转增股本等
除权除息事项的,应对前述发行价进行除权除息调整。
(3)若因本公司本次发行上市的招股说明书有虚假记载、误导性陈述或者重大遗漏,或本
公司存在欺诈发行的情形,致使投资者在证券交易中遭受损失的,本公司将依法赔偿投资者

                                     1-1-350
拓荆科技股份有限公司                                                   招股说明书


损失。”

2、发行人董事、监事、高级管理人员承诺
发行人全体董事、监事、高级管理人员就公司欺诈发行上市及其他事项涉及的股份回购和股
份购回事宜出具《关于拓荆科技股份有限公司不存在欺诈发行及招股说明书不存在虚假记
载、误导性陈述或重大遗漏之声明承诺函》,主要内容如下:
“(1)本人确认,发行人本次发行上市不存在欺诈发行的情形,发行人本次发行上市的招
股说明书不存在虚假记载、误导性陈述或重大遗漏,并对其真实性、准确性和完整性承担个
别和连带的法律责任。
(2)本人承诺,若经中国证监会、证券交易所或有权机构认定,发行人本次发行上市招股
说明书有虚假记载、误导性陈述或者重大遗漏,或发行人存在欺诈发行的情形,致使投资者
在证券交易中遭受损失的,本人将依据前述认定依法承担相应责任,同时积极督促发行人履
行相关股份回购承诺并披露相关承诺的履行情况,采取补救和改正措施。
(3)本声明承诺函所述事项已经本人确认,为本人的真实意思表示,对本人具有法律约束
力,不因本人职务变更、离职等原因而终止。本人将自愿接受监管机关、社会公众及投资者
的监督,积极采取合法措施履行本承诺,并依法承担相应责任。”
                       (五)关于填补被摊薄即期回报的措施及承诺
1、发行人承诺
发行人就关于填补被摊薄即期回报出具了《关于填补被摊薄即期回报相关措施的承诺》,主
要内容如下:
“(1)积极提升公司核心竞争力,规范内部控制,全面提升经营管理效率
公司已建立并形成了较为完善的内部控制制度和管理体系,将致力于进一步巩固和提升核心
竞争优势、拓宽市场,努力实现收入水平和盈利能力的双重提升。公司将加强企业内部控制,
推进全面预算管理,加强成本管理,强化预算执行监督,全面有效地控制公司经营和管理风
险,提升经营效率和盈利能力。
(2)提升研发技术和优化营销体系,增强公司的持续盈利能力
公司将依托自身的技术研发能力,坚持自主技术研发与产品创新,不断丰富和完善产品种类,
提升研发技术水平。同时公司将以现有的营销体系为发展基石,通过一流的技术产品优势,
以及不断优化的销售服务体系建设,持续增强品牌影响力,实现客户数量和质量的同步良性
发展。同时,公司将积极培育和开拓海外市场,以领先技术和优秀产品为基础,充分发挥与
战略合作伙伴的协同优势,促进销售规模的持续增长和盈利能力的不断提升。
(3)不断完善公司治理,为公司发展提供制度保障
公司将严格遵循《公司法》、《证券法》等法律、法规和规范性文件的要求,不断完善公司
治理结构,确保股东能够充分行使权力,确保董事会能够按照法律、法规和公司章程的规定
行使职权,作出科学、迅速和谨慎的决策,确保独立董事能够认真履行职责,维护公司整体
利益,尤其是中小股东的合法权益,确保监事会能够独立有效地行使对董事、经理和其他高
级管理人员及公司财务的监督权和检查权,为公司发展提供制度保障。
(4)保证募集资金有效合理使用,加快募集资金投资项目进度,提高资金使用效率
① 加强募集资金管理,保证募集资金有效合理使用
为规范公司募集资金的使用与管理,确保募集资金的使用规范、安全、高效,根据《公司法》
等法律、法规、规范性文件及《公司章程》的规定,公司制定了《募集资金管理办法》、《信
息披露管理制度》及《投资者关系管理制度》等管理制度。上述制度对募集资金的专户存储、
使用、用途变更、管理和监督以及相关信息的披露进行了明确的规定,保证了公司募集资金
的存放和使用的安全,防止募集资金被关联方占用或挪用。为保障公司规范、有效使用募集


                                       1-1-351
拓荆科技股份有限公司                                                   招股说明书


资金,本次发行募集资金到位后,公司董事会将持续监督公司对募集资金进行专项存储、保
障募集资金用于指定的投资项目、定期对募集资金进行内部审计、配合监管银行和保荐机构
对募集资金使用的检查和监督,以保证募集资金合理规范使用,合理防范募集资金使用风险。
② 加快募集资金投资项目进度,提高资金使用效率
本次发行募集资金到位后,公司将加快推进募集资金投资项目建设,争取募投项目早日实现
预期效益。同时,公司将根据相关法规和公司募集资金管理制度的要求,严格管理募集资金
使用,保证募集资金按照原定用途得到充分有效利用。
(5)完善利润分配制度,优化投资者回报机制
公司建立对投资者持续、稳定、科学的回报规划与机制,对利润分配做出制度性安排,保证
利润分配政策的连续性和稳定性。为进一步增强公司现金分红的透明度,强化公司回报股东
的意识,树立上市公司给予投资者合理的投资回报的观念,公司根据《关于进一步落实上市
公司现金分红有关事项的通知》和《上市公司监管指引第 3 号——上市公司现金分红》的相
关要求,明确了利润分配的条件及方式,制定了现金分红的具体条件、比例,股票股利分配
的条件,完善了公司利润分配的决策程序、考虑因素和利润分配政策调整的决策程序,健全
了公司分红政策的监督约束机制。
公司上市后将严格按照公司章程的规定,完善对利润分配事项的决策机制,重视对投资者的
合理回报,积极采取现金分红等方式分配股利,吸引投资者并提升公司投资价值。”
2、发行人董事和高级管理人员承诺
“(1)承诺本人将忠实、勤勉地履行职责,维护公司和全体股东的合法权益。
(2)为确保公司股东大会审议通过的《关于公司填补被摊薄即期回报相关措施的议案》中
所述的填补即期回报措施的切实履行,承诺:
①不无偿或以不公平条件向其他单位或者个人输送利益,也不采用其他方式损害公司利益;
②对职务消费行为进行约束;
③不动用公司资产从事与履行职责无关的投资、消费活动;
④由董事会或薪酬与考核委员会制订的薪酬制度与公司填补回报措施的执行情况相挂钩;
⑤若公司后续推出股权激励政策,则拟公布的公司股权激励的行权条件与公司填补回报措施
的执行情况相挂钩;
⑥切实履行公司制订的有关填补回报措施以及本承诺,若违反该等承诺并给公司或者投资者
造成损失的,本人届时将依据有权主管部门的认定依法承担相应责任;
⑦在中国证监会、上海证券交易所另行发布摊薄即期填补回报措施及其承诺的相关意见及实
施细则后,如果公司的相关规定及本人承诺与该等规定不符时,本人将立即按照中国证监会
及上海证券交易所的规定出具补充承诺,并积极推进公司作出新的规定,以符合中国证监会
及上海证券交易所要求。”
                            (六)利润分配政策的承诺
1、上市后未来三年分红回报规划
发行人 2021 年第二次临时股东大会审议通过了《拓荆科技股份有限公司上市后前三年股东
分红回报规划》(以下简称“本规划”),具体内容如下:
(1)股东分红回报规划制定考虑因素:
公司着眼于公司的长远和可持续发展,在综合分析公司经营发展实际、股东要求和意愿、社
会资金成本、外部融资环境等因素,征求和听取股东尤其是中小股东的要求和意愿,充分考
虑公司目前及未来盈利规模、现金流量状况、发展所处阶段、项目投资资金需求、本次发行
融资、银行信贷及债权融资环境等因素,平衡股东的短期利益和长期利益的基础上制定股东
分红回报规划,建立对投资者持续、稳定、科学的回报规划与机制,对股利分配做出制度性
安排,并藉此保持公司利润分配政策的连续性和稳定性。

                                     1-1-352
拓荆科技股份有限公司                                                   招股说明书


(2)股东分红回报规划制定原则:
①本公司在本次发行上市后将采取现金、股票或其他符合法律法规规定的方式分配股利,并
根据公司经营情况进行中期现金分红。
②本公司的利润分配政策将重视对投资者的合理投资回报,并保持利润分配政策的连续性和
稳定性。
③在公司盈利、现金流满足公司正常经营和中长期发展战略需要的前提下,公司将优先选择
现金分红方式,并保持现金分红政策的一致性、合理性和稳定性,保证现金分红信息披露的
真实性。
(3)股东分红回报规划制定与修改的具体流程:
①公司董事会应根据股东大会制定并列入公司章程的利润分配政策,以及公司未来发展计
划,在充分考虑和听取股东(特别是公众投资者)、独立董事的意见基础上,每三年制定一
次具体的股东分红回报规划。董事会制定的股东分红回报规划应经全体董事过半数同意且经
独立董事过半数同意方能通过。
②若因公司利润分配政策进行修改或公司经营环境或者自身经营状况发生较大变化而需要
调整股东分红回报规划的,该调整应限定在利润分配政策规定的范围内,经全体董事过半数
同意并经独立董事过半数同意方能通过。
(4)股东分红回报规划制定周期和相关决策机制:
公司董事会应根据股东大会制定或修订的利润分配政策,至少每三年重新审阅一次《股东分
红回报规划》,根据股东(特别是公众投资者)、独立董事的意见对公司正在实施的股利分
配政策作出适当且必要的修改,确定该时段的股东分红回报规划,并确保调整后的股东分红
回报规划不违反利润分配政策的有关规定。董事会制定的股东分红回报规划应经全体董事过
半数并经独立董事过半数同意方可通过。
(5)公司上市后前三年股东分红回报具体规划:
①公司在上市后前三年内,将采取现金分红、股票股利或者现金分红与股票股利相结合的方
式进行利润分配,并优先选择现金分红方式进行分配。在留足法定公积金后,每年以现金方
式分配的利润均不低于当年实现的可分配利润的 10%。
②如在公司上市后前三年内公司经营业绩快速增长,董事会可以在现金分红的基础上,根据
公司的经营业绩与股本规模的匹配情况择机发放股票股利;也可以根据公司的盈利情况及资
金需求状况提议公司进行中期现金分红。
③公司董事会经综合考虑所处行业特点、发展阶段、自身经营模式、盈利水平及未来重大资
金支出安排等因素后认为,公司目前发展阶段属于成长期,资金需求量较大,因此公司上市
后前三年进行利润分配时,现金分红在利润分配中所占比例最低达到 20%。
④上述利润分配后的留存未分配利润将用于补充公司生产经营所需的流动资金及投资。
2、发行人关于利润分配政策的承诺
“(1)在本次发行上市后,本公司将严格按照本次发行上市后适用的公司章程,以及本次
发行上市《招股说明书》、本公司上市后前三年股东分红回报规划等相关文件的规定执行相
关利润分配政策,充分维护股东利益。
(2)如违反上述承诺,本公司将依照中国证监会、上海证券交易所的规定承担相应责任。”
                       (七)依法承担赔偿或赔偿责任的承诺
1、发行人及全体董事、监事、高级管理人员对发行申请文件真实性、准确性和完整性的承
诺
“拓荆科技股份有限公司(以下简称“公司”)及全体董事、监事、高级管理人员已对公司
首次公开发行股票并在科创板上市的申请文件(以下简称“申请文件”)进行了核查,确认
申请文件不存在虚假记载、误导性陈述或重大遗漏,并对申请文件的真实性、准确性和完整

                                     1-1-353
拓荆科技股份有限公司                                                   招股说明书


性承担个别和连带的法律责任。”

2、发行人关于未履行相关承诺的约束措施
“(1)本公司将严格履行本次首发上市各项声明承诺,积极接受监管部门和投资者的监督。
(2)如非因不可抗力因素,本公司未能履行、未能完全履行或未能按时履行本次首发上市
各项声明承诺,本公司将:
①在股东大会以及中国证监会指定媒体上公开说明具体原因,并向公众投资者道歉;
②以自有资金赔偿公众投资者因依赖本次首发上市各项声明承诺实施交易而遭受的直接损
失,赔偿金额由本公司与相关投资者协商确定,或根据监管机关认可的方式确定,或根据司
法机关裁判结果确定。”
3、董事、监事、高级管理人员关于未履行相关承诺的约束措施
“(1)本人将严格履行本次首发上市各项声明承诺,积极接受监管部门和投资者的监督。
(2)如非因不可抗力因素,本人未能履行、未能完全履行或未能按时履行本次首发上市各
项声明承诺,本人将:
①在中国证监会指定媒体上公开说明具体原因,并向公众投资者道歉;
②如持有发行人股份的,在履行相关声明承诺之前,不要求发行人进行任何形式的分红、不
从发行人处领取任何形式的分红,且发行人有权扣留应付本人的任何形式的分红;
③如持有发行人股份的,在履行相关声明承诺之前,不以任何形式转让所持发行人的股份;
④不要求发行人发放或增加、也不从发行人处领取任何形式的工资、津贴等报酬,且发行人
有权扣留应付本人的任何报酬;
⑤根据监管机关认可的方式或根据司法机关裁判结果依法承担相应的责任。
(3)如发行人等主体未能履行、未能完全履行或未能按时履行本次首发上市各项声明承诺,
且本人负有直接责任的,本人也将执行上述约束措施。”
4、国家集成电路基金关于未履行相关承诺的约束措施
“(1)本公司将严格履行本次发行上市各项声明承诺,积极接受监管部门和投资者的监督。
(2)如非因不可抗力因素,本公司未能履行、未能完全履行或未能按时履行本次发行上市
各项声明承诺,本公司将:
①在中国证监会指定媒体上公开说明具体原因,并向公众投资者道歉;
②在履行相关声明承诺之前,不自发行人获取任何分红;
③在履行相关声明承诺之前,不以任何形式转让所持发行人的股份;
④根据监管机关认可的方式或根据司法机关裁判结果依法承担相应的责任。”
5、国投上海、中微公司、嘉兴君励、润扬嘉禾关于未履行相关承诺的约束措施
“(1)本企业/本公司将严格履行本次发行上市各项声明承诺,积极接受监管部门和投资者
的监督。
(2)如非因不可抗力因素,本企业/本公司未能履行、未能完全履行或未能按时履行本次发
行上市各项声明承诺,本公司/本企业将:
①在中国证监会指定媒体上公开说明具体原因,并向公众投资者道歉;
②在履行相关声明承诺之前,不要求发行人进行任何形式的分红、不从发行人处领取任何形
式的分红,且发行人有权扣留应付本企业/本公司的任何形式的分红;
③在履行相关声明承诺之前,不以任何形式转让所持发行人的股份;
④根据监管机关认可的方式或根据司法机关裁判结果依法承担相应的责任。”
6、姜谦及其一致行动人关于未履行相关承诺的约束措施
“(1)本人/本企业将严格履行本次发行上市各项声明承诺,积极接受监管部门和投资者的
监督。
(2)如非因不可抗力因素,本人/本企业未能履行、未能完全履行或未能按时履行本次发行

                                    1-1-354
拓荆科技股份有限公司                                                   招股说明书


上市各项声明承诺,本人/本企业将:
①在中国证监会指定媒体上公开说明具体原因,并向公众投资者道歉;
②在履行相关声明承诺之前,不要求发行人进行任何形式的分红、不从发行人处领取任何形
式的分红,且发行人有权扣留应付本人/本企业的任何形式的分红;
③在履行相关声明承诺之前,不以任何形式转让所持发行人的股份;
④根据监管机关认可的方式或根据司法机关裁判结果依法承担相应的责任。”
                  (八)关于首次公开发行股票股东信息披露的专项承诺
发行人承诺:
“1、本公司股东均具备持有本公司股份的适当资格,不存在法律法规规定禁止持股的主体
直接或间接持有本公司股份的情形。
2、除招商证券部分关联方系本公司的间接出资人外,本次发行的中介机构或其负责人、高
级管理人员、经办人员不存在直接或间接持有本公司股份的情形;招商证券关联方的前述间
接投资行为,系其独立、正常的决策行为,非专门为间接持股本公司所设置,且间隔层级较
多,间接持股比例极小,不影响招商证券作为保荐机构独立开展尽职调查、独立作出判断。
3、本公司股东不存在以本公司股权进行不当利益输送的情形。”
                              (九)避免同业竞争的承诺
发行人持股 5%以上的股东国家集成电路基金、国投上海、嘉兴君励及其一致行动人盐城燕
舞、润扬嘉禾,姜谦及其部分一致行动人承诺,相关内容如下:
1、截至本承诺函出具之日,本公司/本企业/本人及本公司/本企业/本人直接或间接控制的下
属企业(如有)并未在中国境内或境外以任何方式直接或间接从事与发行人或其下属企业存
在同业竞争或潜在同业竞争的业务,包括但不限于未单独或连同、代表任何人士、商号或公
司(企业、单位)发展、经营或协助经营、参与、从事相关业务。
2、本公司承诺,本公司/本企业/本人及本公司/本企业/本人直接或间接控制的下属企业(如
有)将不会:(1)单独或与第三方,以任何形式直接或间接从事与发行人或其下属企业目
前及今后进行的主营业务构成具有重大不利影响的同业竞争或潜在同业竞争的业务或活动
(以下简称“竞争业务”);(2)不会直接或间接控股、收购从事竞争业务的企业(以下
简称“竞争企业”),或以其他方式拥有竞争企业的控制性股份、股权或权益。
3、本承诺函自出具之日起生效,直至发生下列情形之一时终止:(1)本公司/本企业/本人
不再是持有发行人 5%以上股份的股东或其一致行动人;(2)发行人的股票终止在任何证
券交易所上市(但发行人的股票因任何原因暂停买卖除外)。
                           (十)规范和减少关联交易的承诺
1、发行人第一大股东国家集成电路基金关于规范和减少关联交易承诺的主要内容如下:
“(1)本公司将善意履行作为拓荆科技股东的义务,充分尊重拓荆科技的独立法人地位,
保障拓荆科技独立经营、自主决策。本公司将严格按照《公司法》以及拓荆科技公司章程的
规定,促使经本公司提名的拓荆科技董事(如有)依法履行其应尽的诚信和勤勉责任。
(2)如果拓荆科技及其下属公司在今后的经营活动中必须与本公司或本公司控制的企业或
者经济组织发生不可避免的关联交易,本公司将促使此等交易严格按照国家有关法律法规、
拓荆科技公司章程和其他有关规定履行相应程序,并按照正常的商业条件进行;保证本公司
及本公司控制的企业或者经济组织将不会要求或接受拓荆科技及其下属公司给予比在任何
一项市场公平交易中第三者更优惠的条件;保证不利用股东地位,就拓荆科技及其下属公司
与本公司或本公司控制的企业或者经济组织相关的任何关联交易采取任何行动,故意促使拓
荆科技的股东大会或董事会作出侵犯拓荆科技或其他股东合法权益的决议。
(3)保证本公司及本公司控制的企业或者经济组织将严格和善意地履行其与拓荆科技及其

                                      1-1-355
拓荆科技股份有限公司                                                   招股说明书


下属公司签订的各种关联交易协议。本公司及本公司控制的企业或者经济组织将不会向拓荆
科技及其下属公司谋求任何超出该等协议规定以外的利益或收益。
(4)如违反上述承诺,本公司将根据中国证监会和证券交易所的规定承担相关责任。”
2、发行人股东国投上海、中微公司、嘉兴君励及其一致行动人盐城燕舞、润扬嘉禾、姜谦
及其一致行动人关于规范和减少关联交易承诺的主要内容如下:
“(1)本企业/本公司/本人将善意履行作为拓荆科技股东的义务,充分尊重拓荆科技的独立
法人地位,保障拓荆科技独立经营、自主决策。本企业/本公司/本人将严格按照《公司法》
以及拓荆科技公司章程的规定,促使经本企业/本公司/本人提名的拓荆科技董事(如有)依
法履行其应尽的诚信和勤勉责任。
(2)截至本函件出具日,除已经招股说明书、审计报告和律师工作报告等文件披露的情形
外,本企业/本公司/本人及本企业/本公司/本人直接或间接控制的企业或者经济组织(以下
统称“本企业/本公司/本人控制的企业或者经济组织”)与拓荆科技及其下属公司不存在其
他关联交易。
(3)保证本企业/本公司/本人以及本企业/本公司/本人控制的企业或者经济组织,今后原则
上不与拓荆科技及其下属公司发生关联交易。如果拓荆科技及其下属公司在今后的经营活动
中必须与本企业/本公司/本人或本企业/本公司/本人控制的企业或者经济组织发生不可避免
的关联交易,本企业/本公司/本人将促使此等交易严格按照国家有关法律法规、拓荆科技公
司章程和其他有关规定履行相应程序,并按照正常的商业条件进行;保证本企业/本公司/本
人及本企业/本公司/本人控制的企业或者经济组织将不会要求或接受拓荆科技及其下属公司
给予比在任何一项市场公平交易中第三者更优惠的条件;保证不利用股东地位,就拓荆科技
及其下属公司与本企业/本公司/本人或本企业/本公司/本人控制的企业或者经济组织相关的
任何关联交易采取任何行动,故意促使拓荆科技的股东大会或董事会作出侵犯拓荆科技或其
他股东合法权益的决议。
(4)保证本企业/本公司/本人及本企业/本公司/本人控制的企业或者经济组织将严格和善意
地履行其与拓荆科技及其下属公司签订的各种关联交易协议。本企业/本公司/本人及本企业/
本公司/本人控制的企业或者经济组织将不会向拓荆科技及其下属公司谋求任何超出该等协
议规定以外的利益或收益。
(5)如违反上述承诺给拓荆科技及其下属公司造成损失,本企业/本公司/本人将及时、足额
地向拓荆科技及其下属公司作出赔偿或补偿。本企业/本公司/本人未能履行上述赔偿或补偿
承诺的,则拓荆科技有权相应扣减应付本企业的现金分红(包括相应扣减本企业/本公司/本
人未来可能因间接持有拓荆科技的股份而可间接分得的现金分红)。在相应的承诺履行前,
本企业/本公司/本人亦不转让本企业/本公司/本人所直接或未来可能间接所持的拓荆科技的
股份,但为履行上述承诺而进行转让的除外。”
                             (十一)其他承诺事项
1、保荐机构承诺
招商证券承诺:
“本公司已对《拓荆科技股份有限公司首次公开发行股票并在科创板上市招股说明书》进行
核查,确认不存在虚假记载、误导性陈述或重大遗漏,并对其真实性、准确性和完整性承担
相应的法律责任。
本公司为发行人首次公开发行股票制作、出具的文件不存在虚假记载、误导性陈述或者重大
遗漏的情形。因本公司为发行人首次公开发行股票制作、出具的文件有虚假记载、误导性陈
述或重大遗漏,给投资者造成损失的,将依法赔偿投资者损失。”
2、发行人联席主承销商承诺
国开证券股份有限公司承诺:

                                    1-1-356
拓荆科技股份有限公司                                                     招股说明书


“本公司为发行人首次公开发行股票制作、出具的文件不存在虚假记载、误导性陈述或者重
大遗漏的情形。因本公司为发行人首次公开发行股票制作、出具的文件有虚假记载、误导性
陈述或重大遗漏,给投资者造成损失的,将依法赔偿投资者损失。”
3、发行人律师承诺
北京市中伦律师事务所承诺:
“本所为发行人本次发行上市制作、出具的上述法律文件(指《北京市中伦律师事务所关于
拓荆科技股份有限公司首次公开发行股票并在科创板上市的法律意见书》和《北京市中伦律
师事务所关于拓荆科技股份有限公司首次公开发行股票并在科创板上市的律师工作报告》)
不存在虚假记载、误导性陈述或者重大遗漏。如因本所过错致使上述法律文件存在虚假记载、
误导性陈述或者重大遗漏,并因此给投资者造成直接损失的,本所将依法与发行人承担连带
赔偿责任。”
4、审计、验资及验资复核机构承诺
天健会计师事务所(特殊普通合伙)承诺:
“因本所为拓荆科技股份有限公司首次公开发行股票并在科创板上市制作、出具的文件有虚
假记载、误导性陈述或者重大遗漏,给投资者造成损失的,将依法赔偿投资者损失。”
5、评估机构承诺
北京中企华资产评估有限责任公司承诺:
“本公司为发行人制作、出具的申请文件真实、准确、完整,无虚假记载、误导性陈述或重
大遗漏。若因本公司未能勤勉尽责,导致本公司为发行人制作、出具的申请文件有虚假记载、
误导性陈述或重大遗漏,给投资者造成损失的,本公司将依法赔偿投资者损失。”
沃克森(北京)国际资产评估有限公司承诺:
“本公司为发行人制作、出具的上述申请文件(指《追溯资产评估报告》(沃克森国际评报
字(2021)第 0620 号)和《追溯资产评估报告》(沃克森国际评报字(2021)第 0621 号))
真实、准确、完整,无虚假记载、误导性陈述或重大遗漏。若因本公司未能勤勉尽责,导致
本公司为发行人制作、出具的上述申请文件有虚假记载、误导性陈述或重大遗漏,给投资者
造成损失的,本公司将依法赔偿投资者损失。”




                                      1-1-357