意见反馈 手机随时随地看行情

公司公告

中芯国际:首次公开发行并在科创板上市招股意向书2020-06-30  

						特别提示:本次股票发行后拟在科创板市场上市,该市场具有较高的投资风险。科创板公司具有
研发投入大、经营风险高、业绩不稳定、退市风险高等特点,投资者面临较大的市场风险。投资
者应充分了解科创板市场的投资风险及本公司所披露的风险因素,审慎作出投资决定。




    Semiconductor Manufacturing International Corporation

              中芯国际集成电路制造有限公司
   (Cricket Square, Hutchins Drive, P.O. Box 2681, Grand Cayman, KY1-1111 Cayman Islands)



    首次公开发行人民币普通股(A 股)股票
                   并在科创板上市招股意向书




                           联席保荐机构(主承销商)


                                                       北京市朝阳区建国门外大街 1 号
          上海市黄浦区广东路 689 号
                                                         国贸大厦 2 座 27 层及 28 层

                                      联席主承销商

       中国(上海)自由贸易试验区商城路 618 号            北京市朝阳区安立路 66 号 4 号楼



                                                       中国(上海)自由贸易试验区世纪大道
        北京市西城区阜成门外大街 29 号 1-9 层
                                                       100 号上海环球金融中心 75 楼 75T30 室
中芯国际集成电路制造有限公司                                          招股意向书


       中国证监会、交易所对本次发行所作的任何决定或意见,均不表明其对注册申请文
 件及所披露信息的真实性、准确性、完整性作出保证,也不表明其对发行人的盈利能力、
 投资价值或者对投资者的收益作出实质性判断或保证。任何与之相反的声明均属虚假不
 实陈述。

       根据《证券法》的规定,股票依法发行后,发行人经营与收益的变化,由发行人自
 行负责;投资者自主判断发行人的投资价值,自主作出投资决策,自行承担股票依法发
 行后因发行人经营与收益变化或者股票价格变动引致的投资风险。



                                 声明及承诺
    发行人及全体董事、高级管理人员承诺招股意向书及其他信息披露资料不存
在虚假记载、误导性陈述或重大遗漏,并对其真实性、准确性、完整性承担个别
和连带的法律责任。

    发行人主要股东承诺本招股意向书不存在虚假记载、误导性陈述或重大遗漏,
并对其真实性、准确性、完整性承担个别和连带的法律责任。

    公司负责人和主管会计工作的负责人、会计机构负责人保证招股意向书中财
务会计资料真实、完整。

    发行人及全体董事、高级管理人员、发行人主要股东以及保荐人、承销的证
券公司承诺因发行人招股意向书及其他信息披露资料有虚假记载、误导性陈述或
者重大遗漏,致使投资者在证券发行和交易中遭受损失的,将依法赔偿投资者损
失。

    保荐人及证券服务机构承诺因其为发行人本次公开发行制作、出具的文件有
虚假记载、误导性陈述或者重大遗漏,给投资者造成损失的,将依法赔偿投资者
损失。




                                        1
中芯国际集成电路制造有限公司                                                       招股意向书


                                        发行概况

发行股票类型                    人民币普通股(A 股)
                                本次初始发行的股票数量为 168,562.00 万股,不涉及股东公
                                开发售股份,占初始发行后股份总数的 23.62%。本次发行可
发行股数
                                以采用超额配售选择权,采用超额配售选择权发行股票数量
                                不超过初始发行股票数量的 15.00%
每股面值1                       0.004 美元
每股发行价格                    人民币【】元
预计发行日期                    2020 年 7 月 7 日
拟上市的证券交易所和板块        上海证券交易所科创板
                                713,642.32 万股,其中:A 股 168,562.00 万股,港股 545,080.32
发行后已发行股份总数2
                                万股(行使超额配售选择权之前)
保荐人(主承销商)              海通证券股份有限公司、中国国际金融股份有限公司
招股意向书签署日                2020 年 6 月 30 日
保荐机构相关子公司参与战        保荐机构将安排海通创投、中金财富参与本次发行战略配售,
略配售情况                      具体按照上交所相关规定执行




1
  公司本次发行的 A 股股票将统一登记、存管于中登公司上海分公司,并按中登公司的登记结算规则以及
相关法律、法规、规范性文件的规定办理登记、存管、结算相关业务。鉴于公司本次发行股票的面值为 0.004
美元,未来登记、存管时,公司拟按照本次发行招股意向书公告日中国人民银行公告的人民币汇率中间价
(若该日中国人民银行未公告人民币汇率中间价,则顺延为其下一公告日公告的人民币汇率中间价)将股
票面值折算为相应的人民币金额,该折算面值仅用于中登公司的股份登记
2
  本次发行前后股份总数均以 2020 年 5 月 31 日为基准计算

                                               2
中芯国际集成电路制造有限公司                                    招股意向书


                               重大事项提示

    本公司提醒投资者应认真阅读本招股意向书全文,并特别注意下列重大事

项提示。


一、本公司特别提醒投资者阅读“风险因素”章节的提示

(一)目前公司 14 纳米及 28 纳米制程产品收入占比较低,28 纳米制程产品产

能过剩、收入持续下降、毛利率为负的风险

    报告期各期,公司 28 纳米制程产品收入分为 163,397.35 万元、124,522.10

万元及 80,685.76 万元,占比分别为 8.12%、6.19%及 4.03%,收入及占比持续下

降;公司于 2019 年第四季度开始量产 14 纳米制程产品,相关收入为 5,706.15 万

元,占比为 0.29%。此外,由于 28 纳米制程相关的产线仍面临较高的折旧压力,

报告期内公司 28 纳米产品毛利率为负。

    同时,由于目前 28 纳米全球纯晶圆代工厂商的产能布局较多,造成全球 28

纳米市场产能过剩。公司出于市场经营策略和客户需求考虑,在满足订单需求的

前提下,优化产品组合,将部分原用于 28 纳米制程的通用设备转用于生产盈利

较高的其他制程产品。

    未来,如果 28 纳米和 14 纳米相关客户需求未能快速提升,公司面临 28 纳

米制程产品产能过剩、收入持续下降,14 纳米及 28 纳米制程产品收入占比较低、

毛利率为负的风险。

(二)扣除非经常性损益后归属于母公司股东的净利润持续为负的风险

    报告期内,由于先进和成熟工艺生产线的扩产尚未体现规模效应,公司面临

较高的折旧压力,且研发投入不断增大,使得公司 2018 年、2019 年扣除非经常

性损益后归属于母公司股东的净利润为负,分别为-61,685.35 万元、-52,209.54

万元。




                                    3
中芯国际集成电路制造有限公司                                     招股意向书


    集成电路晶圆代工行业是资本密集型行业,新产线投产后会在短期内面临较

高的折旧负担,且部分新工艺平台升级带来的销售收入增长具有一定的滞后性,

对晶圆代工企业的盈利水平产生一定影响。

    未来,如果客户需求增长缓慢,公司产能利用率无法提升,折旧大幅增加,

或者研发投入未能及时形成收入的增加,公司可能面临扣除非经常性损益后归属

于母公司股东的净利润持续为负的风险。

(三)公司未来一定时期内折旧费用进一步增加的风险

    截至报告期末,公司合计在建工程账面价值为 1,705,966.79 万元,占资产总

额的比例为 14.86%,上述在建工程将在达到预定可使用状态时转入固定资产并

开始计提折旧。此外,公司未来将继续在成熟和先进制程领域进行产能扩张,亦

会在一定时期内增加在建工程金额。随着在建工程项目陆续达到预定可使用状态,

并转入固定资产,公司在一定时期内面临折旧费用进一步增加的风险。

    此外,中芯南方在建工程账面价值为 1,054,065.31 万元,预计 2020 年下半

年开始陆续转入固定资产,一定时期内可能面临较大折旧压力,导致中芯南方扣

非后净利润下滑,甚至出现扣非后净利润产生大额亏损的风险,可能会对公司整

体扣非后归母净利润产生较大影响。

(四)公司研发与生产需持续投入巨额资金的风险

    集成电路晶圆代工行业属于资本密集型行业。为持续追赶世界先进工艺,不

断升级现有工艺技术平台以保持市场竞争优势,并保证充足的产能以满足订单生

产需求,提高核心竞争力,公司需要持续进行巨额的资金投入。

    报告期各期,公司购建固定资产、无形资产和其他长期资产支付的现金分别

为 1,584,443.86 万元、1,160,292.93 万元及 1,272,275.49 万元;研发投入分别为

357,607.78 万元、447,090.01 万元及 474,445.66 万元。

    未来,如果公司不能获取足够的经营收益,或者融资受限,导致资金投入减

少,可能对公司的竞争优势产生不利影响。



                                     4
中芯国际集成电路制造有限公司                                     招股意向书


(五)晶圆代工市场竞争激烈,公司与行业龙头相比技术差距较大、目前市场

占有率较低的风险

    随着 5G、物联网、人工智能和云计算等新应用领域的不断涌现,芯片产业

发展的热点领域在不断丰富,广阔的市场前景及较为有利的产业政策吸引了诸多

境内外集成电路相关企业布局集成电路晶圆代工行业,可能将导致市场竞争进一

步加剧。

    根据公开信息整理,行业龙头分别于 2015 年、2016 年及 2018 年实现了 16

纳米、10 纳米及 7 纳米制程的量产,中芯国际 14 纳米制程的量产时间为 2019

年;根据 IC Insights 统计,2018 年度行业龙头占全球纯晶圆代工市场份额的 59%,

中芯国际占 6%。

    如果公司无法及时开发和引进最新的制造工艺技术,或推出能够更好地满足

客户需求的工艺平台,将削弱公司的竞争优势,并对公司的经营业绩产生不利影

响。

(六)晶圆代工领域技术升级迭代风险

    集成电路丰富的终端应用场景决定了各细分领域芯片产品的主流技术节点

与工艺存在差异,且技术迭代与相应市场需求变化较快。

    先进工艺一般一到三年往前推进一代,如行业龙头 2015 年量产 16 纳米/14

纳米,2016 年量产 10 纳米,2018 年量产 7 纳米,2020 年量产 5 纳米。若晶圆代

工厂商技术迭代大幅落后于产品应用的工艺要求,则无法满足市场和客户的需求。

    公司目前已实现 14 纳米量产,下一代工艺已进入客户导入阶段,但相较于

行业龙头已量产更先进制程的现状,公司在工艺制程上与行业龙头公司仍存在一

定差距。

    在行业技术快速迭代的背景下,如公司在先进制程领域不能及时根据市场需

求实现更先进节点的量产,或在成熟制程领域不能及时根据市场需求开发相应的

特色工艺平台,均有可能使得公司错失相应的市场空间,进而对公司的竞争力与

持续盈利能力产生影响。

                                     5
中芯国际集成电路制造有限公司                                    招股意向书


(七)公司子公司较多带来的管理控制风险

    截至 2019 年 12 月 31 日,公司共有子公司 37 家,其中境内子公司 17 家,

境外子公司 20 家,分布在多个国家和地区。未来,若子公司发生经营、合规、

税务等方面风险,可能对公司的经营业绩造成相关不利影响。

    此外,公司的控股子公司中芯北方、中芯南方均为中外合资企业,中芯北方

和中芯南方分红等事项需全体董事的三分之二以上批准;同时,中芯南方的分红

等事项还需取得其他股东委派董事的同意。因此,公司无法单方面决定中芯北方

和中芯南方分红等重大事项。

    公司存在因子公司较多带来的管理控制风险。

(八)公司现行的公司治理结构与适用于中国境内法律、法规和规范性文件的

上市公司存在差异的风险

    公司为一家设立于开曼群岛并在香港联交所上市的红筹企业,现行的公司治

理制度主要系基于公司注册地和境外上市地的相关法律法规及规则制定,与目前

适用于注册在中国境内的一般 A 股上市公司的公司治理模式相比,在资产收益、

参与重大决策以及剩余财产分配等方面,存在一定差异。

    为本次 A 股发行上市,公司根据《国务院办公厅转发证监会关于开展创新

企业境内发行股票或存托凭证试点若干意见的通知》(国办发[2018]21 号)《科创

板上市规则》等境内法律法规修订了《公司章程》《内部审计章程》,并制定了《股

东大会议事规则》《董事会议事规则》《投资者关系管理制度》等具体治理制度,

使得公司在投资者权益保护水平包括资产收益、参与重大决策、剩余财产分配等

权益上,不低于境内法律法规规定的要求,以上制度将在本次 A 股发行上市后

生效。前述制度生效后,公司在某些公司治理的相关事项安排上,与在中国境内

的一般 A 股上市公司相比还存在一定差异,主要包括:监事会制度;公司合并、

分立、收购的程序和制度;公司清算、解散的程序和制度等。

    上述差异具体参见本招股意向书“第七节 公司治理与独立性”之“二、注

册地的公司法律制度、《公司章程》与境内《公司法》等法律制度的主要差异”。

                                    6
中芯国际集成电路制造有限公司                                   招股意向书


(九)A 股公众股东通过诉讼手段寻求保护自己的权利面临一定不确定性的风险

    公司的 A 股公众股东可以依据《证券法》《中华人民共和国民事诉讼法》《中

华人民共和国涉外民事关系法律适用法》等法律法规及其相关的司法解释,在中

国境内有管辖权的人民法院提起民事诉讼,追究公司及其他相关责任人的法律责

任,包括在公司的信息披露内容出现虚假记载、误导性陈述或者重大遗漏并致使

A 股公众股东在证券交易中遭受损失时,A 股公众股东可追索赔偿责任。

    虽然 A 股公众股东可以依据中国相关法律法规向有管辖权的人民法院提起

诉讼、申请执行公司的境内资产,但是公司注册于开曼群岛,受开曼群岛大法院

管辖,如 A 股公众股东向开曼群岛大法院起诉公司寻求保护自己的权利,鉴于

中国目前未与开曼群岛订立双边司法互助的协议或安排,开曼群岛大法院判决能

否在中国获得承认与执行,将存在一定的不确定性。

    同时,本次发行后,A 股公众股东持有的公司股票将统一登记、存管在中国

境内的证券登记结算机构。如某一 A 股公众股东拟依据开曼群岛法律向公司提

起证券诉讼或其他民事诉讼,该名 A 股公众股东须按中国境内相关业务规定取

得具有法律效力的证券登记记录,该等程序和限制可能导致境内投资者需承担额

外的跨境行使权利或者维护权利的成本和负担。

(十)公司注册地、上市地和子公司生产经营所涉及的司法辖区相关法律变化

的风险

    公司是一家设立于开曼群岛的红筹企业,须遵守开曼群岛相关法律的规定。

公司通过境内子公司于中国境内开展经营活动,并与设立在其他国家或地区的企

业存在采购、销售等往来,因此除了遵守包括但不限于《公司法》《中华人民共

和国外商投资法》《中华人民共和国合同法》等相关中国法律、法规和规范性文

件的规定外,还须遵守其生产经营活动所涉及的司法辖区相关法律、法规的规定。

公司于美国、欧洲、日本、中国香港等国家和地区设立有子公司,该等子公司亦

须遵守当地法律、法规的相关规定。




                                   7
中芯国际集成电路制造有限公司                                      招股意向书


    公司及子公司注册地及生产经营活动所涉及的司法辖区的立法机关、政府部

门或其他监管机构可能不时发布、更新适用于公司或子公司的法律、法规、规范

性文件,该等法律、法规、规范性文件可能对公司或子公司产生实质影响。

    2019 年 1 月 1 日生效的《开曼群岛经济实质法》要求在开曼群岛注册成立

的从事“相关活动”的“相关实体”应当满足有关经济实质的要求。公司目前已

取得了《存续证明》并在开曼群岛委聘了注册代理,符合《开曼群岛经济实质法》

的简化的经济实质测试。但是鉴于《开曼群岛经济实质法》及其指引仍在进一步

完善过程中,如果公司从事的业务未来不能归类为“控股业务”,则需要满足更

加复杂的经济实质标准。其具体适用条件以及要求尚不明确,如果公司最终无法

满足,公司可能受到开曼群岛政府机构的处罚。

    此外,本次发行上市后,公司将成为一家在香港联交所和上交所挂牌上市的

公司,需要同时接受两地证券监督管理机构和交易所的监管,并同时遵守包括《科

创板上市规则》《香港上市规则》等在内的相关法律、法规、规范性文件的规定。

    如果公司或子公司未能完全遵守相关政府机关、监管机构发布、更新的相关

规定,则可能面临相应的处罚,并对公司的生产经营、财务状况造成不利影响。

(十一)美国出口管制政策调整的风险

    目前,经济全球化遭遇波折,多边主义受到冲击,国际金融市场震荡,特别

是中美经贸摩擦给一些企业的生产经营、市场预期带来不利影响。

    公司坚持国际化运营,自觉遵守生产经营活动所涉及相关国家和地区的法律、

法规,自成立以来合规运营,依法开展生产经营活动。

    2019 年 5 月,美国商务部将若干中国公司列入“实体名单”;2020 年 5 月,

美国商务部修订直接产品规则(Foreign-Produced Direct Product Rule),据此修订

后的规则,若干自美国进口的半导体设备与技术,在获得美国商务部行政许可之

前,可能无法用于为若干客户的产品进行生产制造。

    上述修订的规则中,仍然有许多不确定的法律概念,其具体影响的程度,目

前尚未能准确评估。上述中美经贸摩擦等相关外部因素,可能导致公司为若干客

                                     8
中芯国际集成电路制造有限公司                                     招股意向书


户提供的晶圆代工及相关配套服务受到一定限制。公司可能面临生产受限、订单

减少的局面,进而对公司的业务发展和经营业绩产生不利影响。

(十二)原材料和设备供应的风险

    集成电路晶圆代工行业对原材料和设备有较高要求,部分重要原材料及核心

设备在全球范围内的合格供应商数量较少,大多来自中国境外。

    未来,如果公司的重要原材料或者核心设备发生供应短缺、价格大幅上涨,

或者供应商所处的国家和地区与中国发生贸易摩擦、外交冲突、战争等进而影响

到相应原材料及设备的出口许可,且公司未能及时形成有效的替代方案,将会对

公司生产经营及持续发展产生不利影响。

(十三)研发风险

    公司所处的集成电路晶圆代工行业属于技术密集型行业,集成电路晶圆代工

涉及数十种科学技术及工程领域学科知识的综合应用,具有工艺技术迭代快、资

金投入大、研发周期长等特点。多年来,公司坚持自主研发的道路,进一步巩固

自主化核心知识产权,并致力打造领先于国内乃至国际同类应用的技术平台。

    集成电路晶圆代工的技术含量较高,需要经历前期的技术论证及后期的不断

研发实践,周期较长。如果公司未来不能紧跟行业前沿需求,正确把握研发方向,

可能导致工艺技术定位偏差。同时,新工艺的研发过程较为复杂,耗时较长且成

本较高,存在不确定性。如果公司不能及时推出契合市场需求且具备成本效益的

技术平台,可能导致公司竞争力和市场份额有所下降,从而影响公司后续发展。

    此外,新技术平台的研发需要大量的资金投入。报告期内,公司研发投入分

别为 357,607.78 万元、447,090.01 万元及 474,445.66 万元,占营业收入的比例分

别为 16.72%、19.42%及 21.55%。如果公司未来技术研发的投入不足,不能支撑

技术升级的需要,可能导致公司技术被赶超或替代,进而对公司的持续竞争力产

生不利影响。




                                     9
中芯国际集成电路制造有限公司                                           招股意向书


(十四)知识产权的风险

      知识产权是公司在集成电路行业内保持自身竞争力的关键,主要包括专利、

集成电路布图设计、商业秘密等。截至 2019 年 12 月 31 日,登记在公司及其控

股子公司名下的与生产经营相关的主要专利共 8,122 件,其中境内专利 6,527 件,

包括发明专利 5,965 件;境外专利 1,595 件,此外公司还拥有集成电路布图设计

94 件。虽然公司长期以来注重自主知识产权的研发,并建立了科学完善的知识

产权保护体系,但不能排除公司的知识产权被盗用或不当使用,或发生知识产权

纠纷的风险。

      同时,获得第三方公司知识产权许可或引入相关技术授权是集成电路的行业

惯例。存在相关知识产权许可或技术授权到期后,因第三方公司原因或因国际贸

易摩擦等因素无法继续使用或续期的风险。

      未来,如果发生上述风险情形,将对公司的生产经营产生不利影响。同时,

公司需采取法律手段维护自身权益,可能耗费一定的人力、物力、财力。

(十五)募集资金投资项目的风险

      公司本次公开发行股票的募集资金使用情况如下:

                                                                        单位:万元
 序号                项目名称                  募集资金投资额      拟投入资金比例
  1      12 英寸芯片 SN1 项目                         800,000.00           40.00%
  2      先进及成熟工艺研发项目储备资金               400,000.00           20.00%
  3      补充流动资金                                 800,000.00           40.00%
                   合计                             2,000,000.00          100.00%

      其中,12 英寸芯片 SN1 项目的募集资金投资额为 800,000.00 万元,用于满

足建设 1 条月产能 3.5 万片的 12 英寸生产线项目的部分资金需求,生产技术水

平提升至 14 纳米及以下;先进及成熟工艺研发项目储备资金项目的募集资金投

资额为 400,000.00 万元,用于工艺研发以提升公司的市场竞争力。未来,如果市

场环境、项目实施进度等方面出现重大变化,公司将面临募集资金投资项目无法

达到预期收益的风险。



                                          10
中芯国际集成电路制造有限公司                                  招股意向书


    12 英寸芯片 SN1 项目的总投资额为 905,900 万美元,其中生产设备购置及

安装费达 733,016 万美元。SN1 项目达产后将会贡献额外的先进制程收入,但同

时带来较高的折旧成本压力。随着 14 纳米及下一代制程的产线投产、扩产,公

司一定时期内会面临较大的折旧压力,该部分业务毛利率可能会低于公司平均水

平,存在经济效益不达预期,甚至产生较大额度亏损的风险。

    此外,公司是一家注册在开曼群岛的公司,本次发行募集的资金需要遵守中

国境内监管机构对于外商投资和外汇管理的限制,可能对募集资金的使用产生一

定影响。


二、公司第一大股东大唐香港、第二大股东鑫芯香港在公司发行普通

股等股份时享有优先购买权

    2008 年 11 月 6 日,公司与大唐香港的全资股东大唐控股签订了《股权购买

协议》,2015 年 2 月 12 日,公司与鑫芯香港的间接全资股东大基金一期签订了

《股权购买协议》,前述股权购买协议约定在公司发行新的普通股、任何可转换

为或可交换为普通股的证券、或任何可认购普通股的认股证或其他权利,除若干

例外情况外,大唐控股或其附属公司、大基金一期或其附属公司均享有优先认购

权。

    公司授予特定股东优先认购权的安排,与中国境内现行公司证券法律法规要

求存在差异,但不违反《香港上市规则》及公司注册地开曼群岛的相关法律、法

规。

    针对公司本次 A 股发行,大唐控股和大基金一期已各自同意放弃优先认购

权,公司后续发行普通股股份时,在相关法律法规允许的前提下,大唐控股或其

附属公司、大基金一期或其附属公司仍享有优先认购权。


三、公司股权较为分散,无控股股东和实际控制人

    报告期内,公司任何单一股东持股比例均低于 30.00%。截至 2019 年 12 月

31 日,公司第一大股东大唐香港持股比例为 17.00%,第二大股东鑫芯香港持股

                                   11
中芯国际集成电路制造有限公司                                   招股意向书


比例为 15.76%,董事会现有 14 位董事,各股东提名的董事人数均低于董事总人

数的二分之一,不存在单一股东通过实际支配公司股份表决权能够决定公司董事

会半数以上成员选任或足以对股东大会的决议产生重大影响的情形,且公司主要

股东之间无关联关系、一致行动关系,因此,公司无控股股东和实际控制人。

    公司股权相对分散,使得公司未来有可能成为被收购对象,进而导致公司控

制权发生变化,可能会给公司业务发展和经营管理等带来一定影响。


四、发行人股份登记及股东名册管理

    公司本次于上海证券交易所科创板发行的 A 股股票由中登公司上海分公司

登记、存管,并按中登公司的登记结算规则以及相关法律、法规、规范性文件的

规定办理登记、存管、结算相关业务。

    中登公司保管的 A 股股东名册记载公司本次于上海证券交易所科创板发行

的 A 股股票信息。香港中央结算有限公司保管的港股股东名册记载公司本次发

行前已在香港联交所发行的股票信息。A 股股东名册与港股股东名册共同构成公

司完整的股东名册。


五、发行人股票面值为 0.004 美元并以人民币为股票交易币种在上海

证券交易所科创板进行交易

    根据《国务院办公厅转发证监会关于开展创新企业境内发行股票或存托凭证

试点若干意见的通知》(国办发〔2018〕21 号)的规定,试点红筹企业的股权结

构、公司治理、运行规范等事项可适用境外注册地公司法等法律法规规定。公司

作为一家设立于境外开曼群岛的红筹企业,《开曼群岛公司法》允许公司以美元

作为面值币种。同时,公司本次发行的股票拟于上交所科创板上市,根据中登公

司上海分公司关于科创板股票登记结算的相关规定,科创板股票以人民币结算。

公司本次发行的股票以美元为面值币种,并以人民币为股票交易币种在上交所科

创板进行交易。公司本次发行股票每股面值与已发行在外的股票每股面值保持一

致,为 0.004 美元。


                                  12
中芯国际集成电路制造有限公司                                   招股意向书


    公司本次发行的 A 股股票将统一登记、存管于中登公司上海分公司,并按

中登公司的登记结算规则以及相关法律、法规、规范性文件的规定办理登记、存

管、结算相关业务。鉴于公司本次发行股票的面值为 0.004 美元,未来登记、存

管时,公司拟按照本次发行招股意向书公告日中国人民银行公告的人民币汇率中

间价(若该日中国人民银行未公告人民币汇率中间价,则顺延为其下一公告日公

告的人民币汇率中间价)将股票面值折算为相应的人民币金额,该折算面值仅用

于中登公司的股份登记。


六、本次发行股票面值为 0.004 美元,未来触发交易类强制退市情形

时股票面值以 1 元人民币为基准

    公司为设立在开曼群岛的红筹企业,本次发行股票的面值为 0.004 美元。上

交所于 2020 年 6 月 5 日发布了《关于红筹企业申报科创板发行上市有关事项的

通知》(上证发〔2020〕44 号),规定红筹企业发行股票的,适用“连续 20 个交

易日股票收盘价均低于股票面值”的交易类强制退市情形时,调整为“连续 20

个交易日股票收盘价均低于 1 元人民币”。因此,包括公司在内的红筹企业未来

触发交易类强制退市情形时股票面值以 1 元人民币为基准。


七、公司可以使用股本溢价进行股利分配

    公司为设立在开曼群岛的红筹企业,根据《开曼群岛公司法》及《公司章程》

的规定,公司可以使用股本溢价或其他《开曼群岛公司法》允许的科目进行股利

分配,与中国境内现行公司证券法律法规要求存在差异。但根据《公司章程(A

股上市后适用稿)》,利润分配方案需由公司股东大会审议通过。

    公司针对本次 A 股发行的募集资金投向已有明确的规定,将按照招股意向

书所列用途使用,公司不会利用本次及后续 A 股发行形成的股本溢价进行股利

分配。




                                   13
中芯国际集成电路制造有限公司                                 招股意向书


八、公司独立非执行董事参与港股股权激励

    公司独立非执行董事参与公司目前存续的以港股股票为激励方式的股权激

励计划,与中国境内现行公司证券法律法规要求存在差异,但不违反《香港上市

规则》及公司注册地开曼群岛的相关法律、法规。

    公司本次 A 股上市后,若实施以 A 股股票为激励方式的股权激励计划,公

司将遵守《上市公司股权激励管理办法》等 A 股有关股权激励的法律、法规的

规定。


九、保荐机构海通证券董事长担任发行人非执行董事

    海通证券担任公司本次发行上市的联席保荐机构(主承销商),海通证券董

事长周杰先生担任公司非执行董事,以上情形符合《证券发行上市保荐业务管理

办法》等相关规定,不影响保荐机构公正履行保荐职责。

    海通证券不构成公司在《香港上市规则》项下的关连人士,公司本次聘请海

通证券无需履行关连交易审批程序,但已履行了相应的内部决策程序。公司本次

A 股上市后,海通证券将构成公司在《科创板上市规则》项下的关联方,届时对

于公司与海通证券发生的交易,公司将按照《公司章程》及《关联(连)交易管

理制度》等相关规定履行关联交易审批程序。

    此外,海通证券担任公司本次发行上市的联席保荐机构(主承销商)亦履行

了相应的内部决策程序。


十、本次发行前滚存利润的分配政策

    2020 年 6 月 1 日,公司股东特别大会审议通过了《有关人民币股份发行前

滚存利润分配的方案之决议案》,同意公司本次发行前形成的滚存未分配利润,

由本次发行完成后新老股东按照持股比例共同享有。




                                  14
中芯国际集成电路制造有限公司                                  招股意向书


十一、本次发行后的利润分配政策

    2020 年 6 月 1 日,公司股东特别大会审议通过了《有关利润分配政策及人

民币股份发行后三年的股息回报计划之决议案》,对本次发行后的股利分配政策

作出了相应规定,包括制定股东分红回报规划的主要考虑因素及原则、股利分配

形式、股利分配的期间间隔、股利分配的条件、股利分配的决策程序与机制、股

利分配政策调整的程序与机制等。具体参见本招股意向书“第十节 投资者保护”

之“二、公司本次发行后的股利分配政策和决策程序”。

    公司目前及未来三年仍处于快速发展阶段,公司持续的产能扩张需求需要较

大资金投入,同时由于生产规模扩张也带来了较大的流动资金需求,因此,公司

需要留存足够的现金以适应经营发展所需。公司留存未分配利润将用于公司主营

业务的发展。


十二、本次发行相关主体作出的重要承诺

    本公司提示投资者认真阅读本公司、主要股东、董事、高级管理人员以及本

次发行的保荐人及证券服务机构等作出的重要承诺(包括稳定股价、利润分配、

填补被摊薄即期回报等)、未能履行承诺的约束措施,具体承诺事项参见本招股

意向书“第十节 投资者保护”之“七、发行人、主要股东、董事、高级管理人

员及本次发行的保荐人及证券服务机构作出的重要承诺”。


十三、财务报告审计截止日至招股意向书签署日公司主要经营情况

    公司已披露财务报告审计截止日后的主要财务信息及经营情况,详见本招股

意向书“第八节财务会计信息与管理层分析”之“二十、财务报告审计截止日至

招股意向书签署日公司主要经营情况”。相关财务信息未经审计,但已经普华永

道审阅。

    2020 年第一季度,公司营业收入为 640,113.60 万元,同比增加 38.42%;毛

利率为 21.58%,同比增长 2.81 个百分点;扣除非经常性损益后归属于母公司股



                                   15
中芯国际集成电路制造有限公司                                     招股意向书


东的净利润为 14,257.93 万元,而上年同期为-32,897.75 万元;经营活动产生的现

金流量净额为 153,189.52 万元,同比增加 151.83%。

    财务报告审计截止日至招股意向书签署日,公司的整体经营环境未发生较大

变化,经营状况良好,经营模式未发生重大变化。


十四、下一报告期业绩预计信息

    公司于 2020 年 5 月 13 日在香港联交所公告了依据国际财务报告准则编制的

《中芯国际截至 2020 年 3 月 31 日止三个月未经审核业绩公布》,预测 2020 年第

二季度收入环比增加 3%至 5%,毛利率介于 26%至 28%的范围内,主要系公司

产能、产量增加带来的规模效应及产品组合的优化。




                                    16
中芯国际集成电路制造有限公司                                                                                        招股意向书


                                                          目         录
声明及承诺 ................................................................................................................... 1
发行概况 ....................................................................................................................... 2
重大事项提示 ............................................................................................................... 3
       一、本公司特别提醒投资者阅读“风险因素”章节的提示............................ 3
       二、公司第一大股东大唐香港、第二大股东鑫芯香港在公司发行普通股等股
       份时享有优先购买权.......................................................................................... 11
       三、公司股权较为分散,无控股股东和实际控制人...................................... 11
       四、发行人股份登记及股东名册管理.............................................................. 12
       五、发行人股票面值为 0.004 美元并以人民币为股票交易币种在上海证券交
       易所科创板进行交易.......................................................................................... 12
       六、本次发行股票面值为 0.004 美元,未来触发交易类强制退市情形时股票
       面值以 1 元人民币为基准.................................................................................. 13
       七、公司可以使用股本溢价进行股利分配...................................................... 13
       八、公司独立非执行董事参与港股股权激励.................................................. 14
       九、保荐机构海通证券董事长担任发行人非执行董事.................................. 14
       十、本次发行前滚存利润的分配政策.............................................................. 14
       十一、本次发行后的利润分配政策.................................................................. 15
       十二、本次发行相关主体作出的重要承诺...................................................... 15
       十三、财务报告审计截止日至招股意向书签署日公司主要经营情况.......... 15
       十四、下一报告期业绩预计信息...................................................................... 16
目     录.......................................................................................................................... 17
第一节 释义 ............................................................................................................... 22
       一、一般释义...................................................................................................... 22
       二、专业释义...................................................................................................... 26
第二节 概览 ............................................................................................................... 31
       一、发行人及本次发行的中介机构基本情况.................................................. 31
       二、本次发行概况.............................................................................................. 31
       三、发行人报告期的主要财务数据和财务指标.............................................. 33

                                                                17
中芯国际集成电路制造有限公司                                                                                        招股意向书

       四、发行人的主营业务情况.............................................................................. 33
       五、发行人技术先进性、模式创新性、研发技术产业化情况以及未来发展战
       略.......................................................................................................................... 34
       六、发行人选择的具体上市标准...................................................................... 35
       七、发行人公司治理特殊安排及其他重要事项.............................................. 36
       八、募集资金用途.............................................................................................. 36
第三节 本次发行概况 ............................................................................................... 37
       一、本次发行的基本情况.................................................................................. 37
       二、本次发行的有关当事人.............................................................................. 38
       三、发行人与本次发行有关中介机构关系等情况.......................................... 40
       四、本次发行上市的重要日期.......................................................................... 41
       五、本次战略配售情况...................................................................................... 41
       六、超额配售选择权的实施方案...................................................................... 42
第四节 风险因素 ....................................................................................................... 45
       一、技术风险...................................................................................................... 45
       二、经营风险...................................................................................................... 47
       三、管理内控风险.............................................................................................. 51
       四、财务风险...................................................................................................... 52
       五、法律风险...................................................................................................... 57
       六、未能达到发行市值标准的风险.................................................................. 61
       七、其他风险...................................................................................................... 61
第五节 发行人基本情况 ........................................................................................... 64
       一、公司基本概况.............................................................................................. 64
       二、公司的设立情况.......................................................................................... 64
       三、公司报告期内的股本、股份和股东变化情况.......................................... 65
       四、公司报告期内的重大资产重组情况.......................................................... 76
       五、公司在其他证券市场上的上市/挂牌情况 ................................................ 77
       六、公司的股权结构.......................................................................................... 78
       七、公司控股子公司及参股公司情况.............................................................. 79
       八、持有公司 5%以上股份的主要股东及实际控制人的基本情况 ............... 99

                                                                18
中芯国际集成电路制造有限公司                                                                                     招股意向书

      九、公司股本情况............................................................................................ 105
      十、董事、高级管理人员与核心技术人员情况............................................ 106
      十一、公司正在执行的股权激励及其他制度安排和执行情况.................... 121
      十二、公司员工及其社会保障情况................................................................ 122
第六节 业务与技术 ................................................................................................. 125
      一、公司主营业务、主要产品的基本情况.................................................... 125
      二、公司所处行业的情况................................................................................ 144
      三、公司市场竞争情况.................................................................................... 159
      四、发行人销售情况和主要客户情况............................................................ 170
      五、发行人采购情况和主要供应商情况........................................................ 171
      六、发行人主要资产情况................................................................................ 174
      七、公司核心技术与科研、研发情况............................................................ 177
      八、公司境外经营情况.................................................................................... 189
第七节 公司治理与独立性 ..................................................................................... 190
      一、公司治理相关制度的建立健全和运行情况............................................ 190
      二、注册地的公司法律制度、《公司章程》与境内《公司法》等法律制度的
      主要差异............................................................................................................ 207
      三、公司内部控制情况.................................................................................... 212
      四、报告期内违法违规及受处罚情况............................................................ 213
      五、公司资金的占用与担保情况.................................................................... 214
      六、公司独立经营情况.................................................................................... 214
      七、发行人与控股股东、实际控制人及其控制的企业从事相同、相似业务的
      情况.................................................................................................................... 216
      八、关联(连)方和关联(连)关系............................................................ 217
      九、关联(连)交易情况................................................................................ 225
      十、报告期内关联(连)方的变化情况........................................................ 233
第八节 财务会计信息与管理层分析 ..................................................................... 234
      一、财务报表.................................................................................................... 234
      二、财务报表的编制基础、合并财务报表范围及变化情况........................ 238
      三、审计意见.................................................................................................... 240

                                                              19
中芯国际集成电路制造有限公司                                                                           招股意向书

      四、与财务会计信息相关的重大事项或重要性水平的判断标准................ 240
      五、关键审计事项............................................................................................ 241
      六、主要会计政策和会计估计........................................................................ 244
      七、非经常性损益............................................................................................ 284
      八、主要税种、税率及税收优惠情况............................................................ 285
      九、主要财务指标............................................................................................ 288
      十、报告期内取得经营成果的逻辑................................................................ 290
      十一、经营成果分析........................................................................................ 291
      十二、资产质量分析........................................................................................ 311
      十三、偿债能力分析........................................................................................ 322
      十四、股利分配情况........................................................................................ 328
      十五、现金流量分析........................................................................................ 328
      十六、持续经营能力分析................................................................................ 332
      十七、资本性支出分析.................................................................................... 333
      十八、资产负债表日后事项、或有事项及其他重要事项............................ 333
      十九、境内外信息披露差异............................................................................ 334
      二十、财务报告审计截止日至招股意向书签署日公司主要经营情况........ 335
第九节 募集资金运用与未来发展规划 ................................................................. 338
      一、募集资金运用概况.................................................................................... 338
      二、募集资金投资项目介绍............................................................................ 342
      三、未来发展规划............................................................................................ 349
第十节 投资者保护 ................................................................................................. 354
      一、投资者关系主要安排................................................................................ 354
      二、公司本次发行后的股利分配政策和决策程序........................................ 354
      三、公司本次发行前后的股利分配政策差异情况........................................ 356
      四、本次发行前滚存利润分配安排................................................................ 357
      五、股东投票机制建立情况............................................................................ 357
      六、特别表决权股份、协议控制的特殊安排................................................ 359
      七、发行人、主要股东、董事、高级管理人员及本次发行的保荐人及证券服
      务机构作出的重要承诺.................................................................................... 359

                                                          20
中芯国际集成电路制造有限公司                                                                               招股意向书

第十一节 其他重要事项 ......................................................................................... 374
       一、重大合同.................................................................................................... 374
       二、对外担保情况............................................................................................ 375
       三、重大诉讼或仲裁事项、重大违法行为.................................................... 376
第十二节 声明 ......................................................................................................... 379
       发行人全体董事、高级管理人员声明............................................................ 379
       声明及授权书.................................................................................................... 380
       发行人主要股东声明........................................................................................ 391
       发行人主要间接股东声明................................................................................ 392
       发行人主要股东声明........................................................................................ 393
       发行人主要间接股东声明................................................................................ 394
       保荐机构(主承销商)声明............................................................................ 395
       联席主承销商声明............................................................................................ 399
       发行人律师声明................................................................................................ 403
       为本次发行承担审计业务的会计师事务所声明............................................ 404
第十三节 附件 ......................................................................................................... 405
附表一:主要专利情况 ........................................................................................... 406
附表二:主要商标情况 ........................................................................................... 466
附表三:集成电路布图设计专有权 ....................................................................... 479




                                                            21
中芯国际集成电路制造有限公司                                                 招股意向书


                                  第一节 释义

     在本招股意向书中,除非文义另有所指,下列词语具有如下特定含义:


一、一般释义
中芯国际、发行人、    Semiconductor Manufacturing International Corporation(中芯国际集
                   指
  公司、本公司        成电路制造有限公司)
    中芯控股        指 中芯国际控股有限公司
    中芯集电        指 中芯集电投资(上海)有限公司
    成都开发        指 中芯国际开发管理(成都)有限公司
    中芯上海        指 中芯国际集成电路制造(上海)有限公司
    中芯北京        指 中芯国际集成电路制造(北京)有限公司
    中芯天津        指 中芯国际集成电路制造(天津)有限公司
    中芯深圳        指 中芯国际集成电路制造(深圳)有限公司
    中芯北方        指 中芯北方集成电路制造(北京)有限公司
    中芯南方        指 中芯南方集成电路制造有限公司
   中芯新技术       指 中芯国际集成电路新技术研发(上海)有限公司
    中芯晶圆        指 中芯晶圆股权投资(上海)有限公司
                         芯创智创新设计服务中心(宁波)有限公司,曾用名中芯国际创
    宁波设计        指
                         新设计服务中心(宁波)有限公司
    芯电上海        指 芯电半导体(上海)有限公司
    中芯长电        指 中芯长电半导体(江阴)有限公司
    北京创新        指 北方集成电路技术创新中心(北京)有限公司
    中芯能源        指 中芯能源科技(上海)有限公司
  中芯晶圆宁波      指 中芯晶圆股权投资(宁波)有限公司
    上海合芯        指 上海合芯投资管理合伙企业(有限合伙)
 SilTech Cayman     指 SilTech Semiconductor Corporation
   SH Cayman        指 SMIC Shanghai(Cayman)Corporation
    Better Way      指 Better Way Enterprises Limited(柏途企业有限公司)
    TJ Cayman       指 SMIC Tianjin(Cayman)Corporation
    SMIC BVI        指 Semiconductor Manufacturing International(BVI)Corporation
 SMIC Americas      指 SMIC, Americas
  SMIC Europe       指 SMIC Europe S.r.l.
   SMIC Japan       指 SMIC Japan Company Limited
   BJ Cayman        指 SMIC Beijing(Cayman)Corporation
    Solar Cell      指 Semiconductor Manufacturing International(Solar Cell)Corporation
   SZ Cayman        指 SMIC Shenzhen(Cayman)Corporation
                         SilTech Semiconductor(Hong Kong)Corporation Limited(芯电半
   SilTech HK       指
                         导体〔香港〕有限公司)



                                            22
中芯国际集成电路制造有限公司                                               招股意向书

   Magnificent       指 Magnificent Tower Limited
      SJ CA          指 SJ Semiconductor Corporation
                          SJ Semiconductor(HK)Limited(中芯长电半导体〔香港〕有限
      SJ HK          指
                          公司)
     SJ USA          指 SJ Semiconductor(USA)Co.
   CFT Nordic        指 CFT Nordic Investment Center Limited
                          SMIC Hong Kong International Limited(中芯国际香港〔国际〕有
    SMIC HK          指
                          限公司)
    LFoundry         指 LFoundry S.r.l.
    长电科技         指 江苏长电科技股份有限公司
    中芯宁波         指 中芯集成电路(宁波)有限公司
    中芯绍兴         指 中芯集成电路制造(绍兴)有限公司
   灿芯半导体        指 灿芯半导体(上海)有限公司
    凸版彩晶         指 凸版中芯彩晶电子(上海)有限公司
    芯鑫租赁         指 芯鑫融资租赁有限责任公司
    中芯聚源         指 中芯聚源股权投资管理(上海)有限公司
    中芯协成         指 中芯协成投资(北京)有限责任公司
    上海创新         指 上海集成电路制造创新中心有限公司
     盛吉盛          指 盛吉盛(宁波)半导体科技有限公司
    华芯创投         指 上海华芯创业投资企业
    上海新储         指 上海新储集成电路有限公司
    沪硅产业         指 上海硅产业集团股份有限公司
Admiral Investment   指 Admiral Investment Holdings Limited
                        SMIC Tianjin(HK)Company Limited(中芯国际天津〔香港〕有
      TJ HK          指
                        限公司)
                        SMIC Beijing(HK)Company Limited(中芯国际北京〔香港〕有
     BJ HK           指
                        限公司)
                        SMIC Solar Cell(HK)Company Limited(中芯国际太阳能光伏〔香
  Solar Cell HK      指
                        港〕有限公司)
                        SMIC Shenzhen(HK)Company Limited(中芯国际深圳〔香港〕
     SZ HK           指
                        有限公司)
    大唐控股         指 大唐电信科技产业控股有限公司
                          Datang Holdings(Hongkong)Investment Company Limited(大唐
    大唐香港         指
                          控股〔香港〕投资有限公司)
Lightmane Holdings   指 Lightmane Holdings Company Limited
                          Xinxin(Hongkong)Capital Company Limited(鑫芯〔香港〕投资
    鑫芯香港         指
                          有限公司)
    巽鑫投资         指 巽鑫(上海)投资有限公司
   大基金一期        指 国家集成电路产业投资基金股份有限公司
   大基金二期        指 国家集成电路产业投资基金二期股份有限公司
上海集成电路基金
                     指 上海集成电路产业投资基金股份有限公司
      一期



                                           23
中芯国际集成电路制造有限公司                                                 招股意向书

上海集成电路基金
                   指 上海集成电路产业投资基金(二期)有限公司
      二期
                        紫光集团有限公司的附属公司:紫光科技战略投资有限公司、紫
紫光集团附属公司   指
                        光集团国际有限公司、紫光科技投资(香港)有限公司
     台积电        指 台湾积体电路制造股份有限公司
    联华电子       指 联华电子股份有限公司
                        上海华虹(集团)有限公司,下属华虹半导体有限公司、上海华
    华虹集团       指
                        力微电子有限公司
   华虹半导体      指 华虹半导体有限公司
    上海华力       指 上海华力微电子有限公司
   高塔半导体      指 Tower Semiconductor Ltd.
     华润微        指 华润微电子有限公司
    力晶科技       指 力晶科技股份有限公司
    格罗方德       指 Global Foundries Inc.
    三星电子       指 Samsung Electronics Co., Ltd.
     英特尔        指 Intel Corporation
保荐人、保荐机构、
联席保荐人、联席 指 海通证券股份有限公司、中国国际金融股份有限公司
    保荐机构
    海通证券       指 海通证券股份有限公司
    海通创投       指 海通创新证券投资有限公司
    中金公司       指 中国国际金融股份有限公司
    中金财富       指 中国中金财富证券有限公司
发行人律师、锦天
                   指 上海市锦天城律师事务所
      城
发行人会计师、普
                   指 普华永道中天会计师事务所(特殊普通合伙)
    华永道
                        发行人制定及不时修订的《Semiconductor Manufacturing
  《公司章程》     指   International Corporation(中芯国际集成电路制造有限公司)组织
                        章程大纲及章程细则》
                        发行人本次A股发行上市后适用的《Semiconductor Manufacturing
《公司章程(A 股
                   指   International Corporation(中芯国际集成电路制造有限公司)组织
上市后适用稿)》
                        章程大纲及章程细则》
                        发行人本次A股发行上市后适用的《Semiconductor Manufacturing
《股东大会议事规
                   指   International Corporation(中芯国际集成电路制造有限公司)股东
      则》
                        大会议事规则》
                        发行人本次A股发行上市后适用的《Semiconductor Manufacturing
 《董事会议事规
                   指   International Corporation(中芯国际集成电路制造有限公司)董事
       则》
                        会议事规则》
 《薪酬委员会章         《Semiconductor Manufacturing International Corporation(中芯国际
                   指
       程》             集成电路制造有限公司)薪酬委员会章程》
                        发行人本次A股发行上市后适用的《Semiconductor Manufacturing
《对外投资管理制
                   指   International Corporation(中芯国际集成电路制造有限公司)对外
      度》
                        投资管理制度》




                                          24
中芯国际集成电路制造有限公司                                               招股意向书

                         发行人本次A股发行上市后适用的《Semiconductor Manufacturing
《对外担保管理制
                    指   International Corporation(中芯国际集成电路制造有限公司)对外
      度》
                         担保管理制度》
                         发行人本次A股发行上市后适用的《Semiconductor Manufacturing
《关联(连)交易
                    指   International Corporation(中芯国际集成电路制造有限公司)关联
  管理制度》
                         (连)交易管理制度》
                         发行人本次A股发行上市后适用的《Semiconductor Manufacturing
《信息披露境内代
                    指   International Corporation(中芯国际集成电路制造有限公司)信息
  表工作细则》
                         披露境内代表工作细则》
                         发行人本次A股发行上市后适用的《Semiconductor Manufacturing
《投资者关系管理
                    指   International Corporation(中芯国际集成电路制造有限公司)投资
    制度》
                         者关系管理制度》
                         发行人本次A股发行上市后适用的《Semiconductor Manufacturing
《信息披露事务管
                    指   International Corporation(中芯国际集成电路制造有限公司)信息
    理制度》
                         披露事务管理制度》
                         发行人本次A股发行上市后适用的《Semiconductor Manufacturing
《募集资金管理制
                    指   International Corporation(中芯国际集成电路制造有限公司)募集
      度》
                         资金管理制度》
                         发行人本次A股发行上市后适用的《Semiconductor Manufacturing
《内部审计章程》    指   International Corporation(中芯国际集成电路制造有限公司)内部
                         审计章程》
                         审计机构出具的普华永道中天审字(2020)第11026号《中芯国际
  《审计报告》      指
                         集成电路制造有限公司2017年度、2018年度及2019年度审计报告》
   《公司法》       指 《中华人民共和国公司法》
 《开曼群岛公司
                    指 《Cayman Islands Companies Law》
       法》
   《证券法》       指 《中华人民共和国证券法》
 《科创板上市规
                    指 《上海证券交易所科创板股票上市规则》
       则》
《香港上市规则》    指 《香港联合交易所有限公司证券上市规则》
《1934 年证券交易
                    指 《Securities Exchange Act of 1934》
      法》
美国预托证券股份    指 American Depositary Shares
   新租赁准则       指 《企业会计准则第21号——租赁》
招股意向书、本招         《中芯国际集成电路制造有限公司首次公开发行人民币普通股(A
                    指
    股意向书             股)股票并在科创板上市招股意向书》
  高级行政人员      指 高级管理人员
   中国证监会       指 中国证券监督管理委员会
   香港证监会       指 香港证券及期货事务监察委员会
     上交所         指 上海证券交易所
   香港联交所       指 香港联合交易所有限公司
                         The U.S. Securities and Exchange Commission(美国证券交易委员
   美国证交会       指
                         会)
     纽交所         指 New York Stock Exchange, Inc.(纽约证券交易所有限公司)
     科创板         指 上海证券交易所科创板
工业和信息化部、
                    指 中华人民共和国工业和信息化部
    工信部

                                          25
中芯国际集成电路制造有限公司                                                招股意向书

    中登公司         指 中国证券登记结算有限责任公司
                          在中国境内证券交易所上市的以人民币认购和进行交易的普通股
 A 股股票、A 股      指
                          股票
       港股          指 在香港联交所上市的以港币认购和进行交易的普通股股票
       BVI           指 英属维尔京群岛
     EBITDA          指 息税折旧摊销前利润
                          《公司章程》授权可以筹集的股本总额,法定股本总额=法定股份
  法定股本总额       指
                          总数*每股面值
  法定股份总数       指 《公司章程》授权可以发行的股份总数
   已发行股份        指 公司已实际发行的不超过法定股份总数的股份数量
 元、万元、亿元      指 人民币元、人民币万元、人民币亿元
      报告期         指 2017年度、2018年度、2019年度
                        《上海证券交易所科创板股票上市规则》《香港联合交易所有限公
  关联(连)方       指 司证券上市规则》《企业会计准则第36号-关联方披露》《国际财务
                        报告准则》中定义的关联方及关连方
      关连方         指 《香港联合交易所有限公司证券上市规则》中定义的关连方
本次发行、本次 A
                          中芯国际集成电路制造有限公司首次公开发行人民币普通股(A
股发行、本次发行     指
                          股)股票并在科创板上市
      上市
                        2016年12月6日,中芯国际股东特别大会批准实施股份合并,将每
                        10股每股面值为0.0004美元之已发行及未发行普通股及每股面值
 2016 年股份合并     指
                        为0.0004美元优先股合并为公司股本中每股面值为0.004美元之普
                        通股及每股面值为0.004美元优先股
                        中芯国际《2004年购股权计划》《2014年购股权计划》《2014年以
  股权激励计划       指
                        股支薪奖励计划》


二、专业释义
                     Integrated Circuit 的简称,指集成电路,通常也叫芯片(Chip),是一种
                     微型电子器件或部件。采用半导体制造工艺,把一个电路中所需的晶体
IC、集成电路、
               指    管、电阻、电容和电感等元件及它们之间的连接导线全部制作在一小块
    芯片
                     半导体晶片如硅片或介质基片上,然后焊接封装在一个管壳内,成为具
                     有所需电路功能的电子器件
 IC Insights    指   国外知名的半导体行业研究机构
                     晶圆指制造集成电路芯片的衬底(也叫基片)。由于是晶体材料,其形
 集成电路晶
                指   状为圆形,所以称为晶圆。按其直径主要分为 4 英寸、5 英寸、6 英寸、
 圆、晶圆
                     8 英寸、12 英寸等规格
                     又称掩模、掩模版、光罩。光掩模是芯片制造中光刻工艺使用的图形母
   光掩模       指
                     版,是根据芯片设计公司设计的集成电路版图来生产制作的
                     封装及封装后测试的简称;封装指将通过测试的晶圆按照产品型号及功
    封测        指
                     能需求加工得到独立芯片的过程
                     集成电路制造过程中,以晶体管最小线宽尺寸为代表的技术工艺,尺寸
制程、技术节
                指   越小,工艺水平越高,意味着在同样面积的晶圆上,可以制造出更多的
      点
                     芯片,或者同样晶体管规模的芯片会占用更小的空间
                     又称版图设计,是集成电路设计过程的一个工作步骤,是指将前端设计
    布图        指   产生的电路图或门级网表通过 EDA 设计工具进行布局布线和进行物理
                     验证并最终产生供制造用的 GDSII 数据的过程


                                           26
中芯国际集成电路制造有限公司                                                招股意向书

                    Integrated Device Manufacturer 的简称,指垂直整合制造工厂,是集芯片
    IDM        指   设计、芯片制造、封装测试及产品销售于一体的整合元件制造商,属于
                    半导体芯片行业的一种运作模式
                    Fabrication 和 Less 的组合,是指没有制造业务、只专注于设计的一种运
                    作模式。Fabless 公司负责芯片的电路设计与销售,将生产、测试、封
   Fabless     指   装等环节外包。也指未拥有芯片制造工厂的 IC 设计公司,经常被简称
                    为“无晶圆厂”(晶圆是芯片/硅集成电路的基础,无晶圆即代表无芯片
                    制造);通常说的 IC design house(IC 设计公司)即为 Fabless
                    晶圆代工模式,专门负责生产、制造芯片,不负责芯片设计,可同时为
  Foundry      指
                    多家设计公司提供服务
                    Electronic Design Automation 的简称,EDA 工具指电子设计自动化,是
                    IC 电子行业必备的设计工具软件,是从计算机辅助设计、计算机辅助
 EDA 工具      指   制造、计算机辅助测试和计算机辅助工程的概念发展而来的。以计算机
                    为工具,设计者在 EDA 软件平台上,将芯片从电路设计、性能分析到
                    设计出 IC 版图的整个过程都交由计算机自动处理完成
                    Intellectual Property 的简称,指知识产权。IP 核指知识产权核或知识产
  IP/IP 核     指   权模块。IP 核是将一些在数字电路中常用,但比较复杂的功能块,如
                    FIR 滤波器、SDRAM 控制器等设计成可修改参数的模块
                    为便于统计,将 12 英寸的晶圆及部分原材料的数量按照 2.25 的比例换
 约当 8 英寸   指
                    算为 8 英寸标准的数量
                    Electrically Erasable Programmable Read-Only-Memory 的简称,指带电可
  EEPROM       指
                    擦可编程只读存储器,是一种掉电后数据不丢失的存储芯片
                    完成所有工艺步骤后测试合格的芯片的数量与整片晶圆上的有效芯片
    良率       指
                    的比值。晶圆良率越高,同一片晶圆上产出的好芯片数量就越多
    SN1        指   中芯南方上海 FinFET 工厂一期
                    Extreme Ultra-violet 的简称,指极紫外光刻,是一种使用极紫外波长的
    EUV        指
                    下一代光刻技术,其波长为 13.5 纳米
                    Fin Field-Effect Transistor 的简称,指鳍式场效应晶体管,是一种新的互
   FinFET      指   补式金氧半导体晶体管,可以改善电路控制并减少漏电流,缩短晶体管
                    的闸长
                    多晶硅栅+氮氧化硅绝缘层栅极结构,是一种 28nm 集成电路制造工艺
 PolySiON      指
                    技术
                    金属栅极+高介电常数绝缘层(High-K)栅结构,是集成电路 28nm 及
   HKMG        指   以下制程的主要技术。不仅能够大幅减小栅极的漏电量,而且由于
                    High-K 绝缘层的等效氧化物厚度较薄,还能有效降低栅极电容
                    由英特尔创始人之一戈登摩尔提出来的,其内容为:当价格不变时,
                    集成电路设计技术每 18~24 个月就更新换代一次,即芯片上可容纳的晶
  摩尔定律     指
                    体管数目每隔约 18~24 个月便会增加一倍,性能也提升一倍。摩尔定律
                    并非数学、物理定律,而是对发展趋势的一种分析预测
                    World Semiconductor Trade Statistics 的简称,指世界半导体贸易统计组
   WSTS        指
                    织
                    China Semiconductor Industry Association 的简称,指中国半导体行业协
   CSIA        指
                    会
 特殊存储器    指   单端口非易失闪存芯片
高性能图像传        利用光电器件的光电转换功能,将感光面上的光像转换为与光像成相应
               指
    感器            比例关系的电信号
                    大规模集成电路生产工艺可达到的最小导线或栅极宽度,是大规模集成
    线宽       指
                    电路工艺先进水平的主要指标
CMOS 工艺      指   制造互补金属氧化物半导体的生产工艺


                                           27
中芯国际集成电路制造有限公司                                           招股意向书

                    传递和处理离散信号,以二进制为原理,实现数字信号逻辑运算和操作
  逻辑电路     指
                    的电路
  高压驱动     指   将输入的弱电信号放大,适合于外部设备的高压信号的电路
嵌入式非挥发
               指   芯片自带的非挥发存储器
    性存储
非挥发存储器   指   存储器所存储的信息在电源关掉之后能长时间存在,不易丢失
  混合信号     指   结合了模拟与数字信号处理的电路
    射频       指   高频交流变化电磁波的信号处理电路
后端版图设计        是集成电路设计过程的一个工作步骤,是指将前端设计产生的电路图或
/布局布线设    指   门级网表通过 EDA 设计工具进行布局布线和进行物理验证并最终产生
      计            供制造用的 GDSII 数据的过程
                    标准单元库,包括版图库、符号库、电路逻辑库等。包含了组合逻辑、
   单元库      指   时序逻辑、功能单元和特殊类型单元。是集成电路芯片后端设计过程中
                    的基础部分
                    嵌入式处理器是嵌入式系统的核心,是控制、辅助系统运行的硬件单元。
嵌入式处理器   指   范围极其广阔,从最初的 4 位处理器,目前仍在大规模应用的 8 位单片
                    机,到最新的受到广泛青睐的 32 位,64 位嵌入式 CPU
  二元掩模     指   由不透光的金属铬作为图形传递介质的光掩模
                    通常是指用透光率为 6%的硅钼作为图形传递介质的光掩模,光线通过
  相移掩模     指   相移掩模暗区与亮区后空间相位相差 180 度,从而可以显著提高光刻工
                    艺的分辨率
邻近效应矫正
               指   采用光学临近效应修正技术提高图形分辨率的掩模
    掩模
                    用离子轰击靶材表面,将靶材的原子击出,击出的原子沉积在基体表面
  溅射镀膜     指
                    成膜。
                    在真空条件下,利用气体放电使气体或被蒸发物质部分离化,在气体离
 离子体镀膜    指   子或被蒸发物质离子轰击作用的同时把蒸发物或其反应物沉积在基底
                    上成膜
                    一种特殊的真空镀膜工艺。在超高真空条件下,将薄膜诸组分元素的分
 分子束外延    指
                    子束流喷射到衬底表面,从而在衬底上形成外延薄膜
   晶体管      指   半导体器件包括二极管、三极管、场效应管等的泛称
                    用半导体材料(硅、硒、锗等)制成的,由两个 P-N 结构成的三个端子
   三极管      指
                    的器件,具有电流放大作用
                    用半导体材料(硅、硒、锗等)制成的,具有两个电极的器件,具有单
   二极管      指
                    向导电性能
    电阻       指   对电流产生阻碍作用的元件
    电容       指   能够储存电量和电能的元件
    电感       指   能够把电能转化为磁能而存储起来的元件
                    Magnetic Random Access Memory 的简称,是一种非易失性的磁性随机
  MRAM         指   存储器。它拥有静态随机存储器的高速读取写入能力,以及动态随机存
                    储器的高集成度,而且基本上可以无限次地重复写入
多重电子束描        采用多重电子束(通常为数十万束)对掩模表面光刻胶进行扫描产生所
               指
    画技术          需要图形的技术
                    完成晶体管源极和漏极之后再做栅极的集成电路制造工艺,一般应用在
   后栅极      指
                    28 纳米以下技术
全铜制程技术   指   集成电路内部器件之间互连使用铜线,一般使用在 130 纳米以下的制程
 铝制程技术    指   集成电路内部器件之间互连使用铝线,一般使用在 130 纳米以上的制程


                                        28
中芯国际集成电路制造有限公司                                                   招股意向书

                    Static Random-Access Memory 的简称,指静态随机存取存储器,是随机
   SRAM        指   存取存储器的一种。所谓的“静态”,是指这种存储器只要保持通电,
                    里面储存的数据就可以恒常保持
                    Electro-Static Discharge 的简称,是 20 世纪中期以来形成的以研究静电
ESD 合规检查        的产生、危害及静电防护等的学科。国际上习惯将用于静电防护的器材
               指
    服务            统称为 ESD,中文名称为静电阻抗器。合规检查服务,就是对应 ESD
                    设计是否符合规范要求的设计检查服务
                    在光掩模制造前对芯片设计图形,工艺监测图形,光刻机对准标记等所
版图数据处理   指   有需要放置在掩模上的图形按照规则进行最有效的摆放并完成档案格
                    式转化的过程
                    通过电子束对光掩模表面的光刻胶进行扫描,使光刻胶产生化学反应,
 电子束描画    指
                    后续再经过烘烤、显影、刻蚀可产生光掩模图形
                    用以实现基本逻辑运算和复合逻辑运算的单元电路。常用的门电路在逻
   门电路      指   辑功能上有与门、或门、非门、与非门、或非门、与或非门、异或门等
                    几种
                    一种在直流电路中将一个电压值的电能变为另一个电压值的电能的装
  DC-DC        指   置,其采用微电子技术,把小型表面安装集成电路与微型电子元器件组
                    装成一体而构成
                    将交流电变为直流电的设备,其功率流向可以是双向的,功率流由电源
  AC-DC        指
                    流向负载的称为整流,功率流由负载返回电源的称为有源逆变
   PMIC        指   电源管理集成电路芯片
    SoC        指   系统级芯片,其中包含完整系统并有嵌入软件的全部内容
                    Low-Voltage Differential Signaling 的简称,指低电压差分信号,是一种
  LVDS 类      指
                    低功耗、低误码率、低串扰和低辐射的差分信号技术
                    晶体振荡器,一些电子设备需要频率高度稳定的交流信号,而 LC 振荡
                    器稳定性较差,频率容易漂移(即产生的交流信号频率容易变化)。在
   晶振类      指
                    振荡器中采用一个特殊的元件——石英晶体,可以产生高度稳定的信
                    号,这种采用石英晶体的振荡器称为晶体振荡器
                    存储器单元实际上是时序逻辑电路的一种。按存储器的使用类型可分为
   存储器      指
                    只读存储器和随机存取存储器,两者的功能有较大的区别
                    只读存储器,以非破坏性读出方式工作,只能读出无法写入信息。信息
ROM 存储器     指   一旦写入后就固定下来,即使切断电源,信息也不会丢失,所以又称为
                    固定存储器
                    为保证可制造性,防止芯片在制造过程中由于曝光过渡或不足而导致的
 dummy gate    指   刻蚀失败或为了提高图形一致性等目的而插入的一些没有实际电路意
                    义的栅极
    pitch      指   相邻图形中心的距离。通常等于图形宽度加上图形之间的距离
                    Threshold Voltage 的简称,指晶体管的阈值电压,指产生强反转所需的
     Vt        指
                    最小电压
                    紧凑型高介电工艺增强版,相对于一般紧凑型高介电工艺,性能提升
   HKC+        指
                    10%
                    Thin film transistor liquid crystal display 的简称,指薄膜晶体管液晶显示
  TFT-LCD      指
                    器,是多数液晶显示器的一种,使用薄膜晶体管技术改善影像品质
   e-Flash     指   嵌入式闪存存储器
                    Microcontroller Unit 的简称,指微控制单元,又称单片微型计算机或者
                    单片机,是把中央处理器的频率与规格做适当缩减,并将内存、计数器、
   MCU         指   USB、A/D 转换、UART、PLC、DMA 等周边接口,甚至 LCD 驱动电
                    路都整合在单一芯片上,形成芯片级的计算机,为不同的应用场合做不
                    同组合控制


                                           29
中芯国际集成电路制造有限公司                                             招股意向书

   NOR         指   逻辑或非的结果是逻辑或的反面
   NAND        指   逻辑与非的结果是逻辑与的反面
                    Single-LevelCell 的简称,指单层单元闪存,其为 NAND 闪存架构,每
 SLC 技术      指
                    一个单元储存一位数据,但成本较高,晶片可重复写入十万次
                    一种结合了双极型、CMOS 和 DMOS 的单片 IC 制造工艺。相对于传统
   BCD         指
                    的双极功率工艺,BCD 为一种单芯片功率集成电路技术
IPD 项目管理        Integrated Product Development 的简称,指结构化端到端的流程,以及
               指
    流程            产品开发流程各阶段关键活动的管理流程
                    模拟混合信号集成电路,即同时有数字电路和模拟电路,最典型的代表
                    是 ADC 和 DAC。ADC,Analog-to-Digital Converter 的简称,指模/数转
                    换器或者模拟/数字转换器。它是把连续变量的模拟信号转换为离散的
                    数字信号的器件。真实世界的模拟信号,例如温度、压力、声音或者图
模拟混合信号   指
                    像等,需要转换成更容易储存、处理和发射的数字形式。DAC,
                    Digital-to-Analog Converter 的简称,指数模转换器,它是把数字量转变
                    成模拟的器件。比如电脑控制交通灯、测温系统、时钟显示、电脑灯、
                    电子乐器等等
                    射频电路:处理信号的电磁波长与电路或器件尺寸处于同一数量级的电
                    路,此时由于器件尺寸和导线尺寸的关系,电路需要用分布参数的相关
                    理论来处理。它被广泛应用于多种领域,如:电视、广播、移动电话、
                    雷达、自动识别系统等。专用词 RFID(射频识别)即指应用射频识别
  RF 平台      指
                    信号对目标物进行识别,它的应用包括:ETC(电子收费),铁路机车
                    车辆识别与跟踪,集装箱识别,出入门禁管理等等。射频(RF)是 Radio
                    Frequency 的简称,就是射频电流,它是一种高频交流变化电磁波,频
                    率范围从 300kHz~300GHz 之间

     本招股意向书除特别说明外,若出现总数与各分项数值之和尾数不符的情况,

均为四舍五入原因造成。




                                         30
中芯国际集成电路制造有限公司                                                      招股意向书


                                         第二节 概览

       本概览仅对招股意向书全文作扼要提示。投资者作出投资决策前,应认真

阅读招股意向书全文。


一、发行人及本次发行的中介机构基本情况
                                        (一)发行人基本情况
                                                                   Semiconductor
                    中芯国际集成电路制造有
中文名称                                          英文名称         Manufacturing International
                    限公司
                                                                   Corporation
成立日期            2000 年 4 月 3 日             法定股本总额     42,000,000.00 美元
                    10,500,000,000 股(包括
法定股份总数        10,000,000,000 股普通股、 已发行股份总数3 5,450,803,226 股(普通股)
                    500,000,000 股优先股)
                    周子学、ZHAO HAIJUN(赵海军)、梁孟松、高永岗、童国华、陈山枝、
公司董事            路军、任凯、周杰、刘遵义、WILLIAM TUDOR BROWN、JINGSHENG
                    JASON CONG(丛京生)、范仁达、KWANG-LEEI YOUNG(杨光磊)
                    Cricket Square, Hutchins Drive, P.O. Box 2681, Grand Cayman, KY1-1111
注册地址
                    Cayman Islands
主要生产经营
                    中国上海市浦东新区张江路 18 号
地址
控股股东            无                            实际控制人       无
                    计算机、通信和其他电子        在其他交易场所
行业分类                                                           981(香港联交所)
                    设备制造业(C39)             上市的情况
                                 (二)本次发行的有关中介机构
                                                                   国泰君安证券股份有限公
                    海通证券股份有限公司、                         司、中信建投证券股份有
联席保荐机构
                    中国国际金融股份有限公        联席主承销商     限公司、国开证券股份有
(主承销商)
                    司                                             限公司、摩根士丹利华鑫
                                                                   证券有限责任公司
                                                                   普华永道中天会计师事务
发行人律师          上海市锦天城律师事务所        审计机构
                                                                   所(特殊普通合伙)
保荐机构(主        北京德恒律师事务所、
                                                  评估机构         无
承销商)律师        上海市方达律师事务所


二、本次发行概况
                                    (一)本次发行的基本情况
股票种类                        人民币普通股(A 股)




3
    本次发行前后股份总数均以 2020 年 5 月 31 日为基准计算

                                                 31
中芯国际集成电路制造有限公司                                                      招股意向书

每股面值4                    0.004 美元
                             168,562.00 万股(行使   占发行后总股本
发行股数                                                                23.62%
                             超额配售选择权之前)    比例
                             168,562.00 万股(行使   占发行后总股本
其中:发行新股数量                                                      23.62%
                             超额配售选择权之前)    比例
                                                     占发行后总股本
股东公开发售股份数量         -                                          -
                                                     比例
                             713,642.32 万股,其中:A 股 168,562.00 万股,港股 545,080.32
发行后总股本5
                             万股(行使超额配售选择权之前)
每股发行价格                 【】元
发行市盈率                   【】倍
发行前每股净资产             【】元/股                  发行前每股收益      【】元/股
发行后每股净资产             【】元/股                  发行后每股收益      【】元/股
发行市净率                   【】倍
                             本次发行采用向战略投资者定向配售、网下向符合条件的投资
发行方式                     者询价配售和网上向持有上海市场非限售 A 股股份和非限售存
                             托凭证市值的社会公众投资者定价发行相结合的方式进行
                             符合资格的战略投资者、询价对象以及已开立上海证券交易所
                             股票账户并开通科创板交易的境内自然人、法人等科创板市场
发行对象
                             投资者,但法律、法规及上海证券交易所业务规则等禁止参与
                             者除外
承销方式                     余额包销
拟公开发售股份股东名称       本次发行不涉及股东公开发售股份
                             本次发行的承销、保荐费用、会计师费用、律师费用、用于本
发行费用的分摊原则           次发行的信息披露费用、发行手续费用等发行相关费用由发行
                             人承担
募集资金总额                 【】
募集资金净额                 【】
                             12 英寸芯片 SN1 项目
募集资金投资项目             先进及成熟工艺研发项目储备资金
                             补充流动资金
                             本次发行费用总额为【】万元,其中:
                             1、保荐及承销费用:本次募集资金总额×1.30%;
                             2、审计费用:329.29 万元;
发行费用概算6
                             3、律师费用:1,301.38 万元;
                             4、用于本次发行的信息披露费用:490.00 万元;
                             5、发行手续费用及其他:55.85 万元。
                              (二)本次发行上市的重要日期

4
  公司本次发行的 A 股股票将统一登记、存管于中登公司上海分公司,并按中登公司的登记结算规则以及
相关法律、法规、规范性文件的规定办理登记、存管、结算相关业务。鉴于公司本次发行股票的面值为 0.004
美元,未来登记、存管时,公司拟按照本次发行招股意向书公告日中国人民银行公告的人民币汇率中间价
(若该日中国人民银行未公告人民币汇率中间价,则顺延为其下一公告日公告的人民币汇率中间价)将股
票面值折算为相应的人民币金额,该折算面值仅用于中登公司的股份登记
5
  本次发行前后股份总数均以 2020 年 5 月 31 日为基准计算
6
  发行手续费中暂未包含本次发行的印花税,税基为包括超额配售选择权的扣除印花税前的募集资金净额,
税率为 0.025%,将结合最终发行情况计算并纳入发行手续费;各项费用根据发行结果可能会有调整,以上
各项发行费用均含增值税。

                                              32
中芯国际集成电路制造有限公司                                                     招股意向书

刊登初步询价公告日期       2020 年 6 月 30 日
初步询价日期               2020 年 7 月 2 日
刊登发行公告日期           2020 年 7 月 6 日
申购日期                   2020 年 7 月 7 日
缴款日期                   2020 年 7 月 9 日
股票上市日期               本次股票发行结束后将尽快申请在上海证券交易所科创板上市


三、发行人报告期的主要财务数据和财务指标
                                      2019 年度/2019        2018 年度/2018    2017 年度/2017
                 项目
                                       年 12 月 31 日        年 12 月 31 日    年 12 月 31 日
资产总额(万元)                        11,481,706.33          9,884,487.10      7,792,605.55
归属于母公司股东权益(万元)              4,357,335.43         4,115,831.71      3,424,964.25
资产负债率(合并)                               37.94%             38.18%            43.58%
营业收入(万元)                          2,201,788.29         2,301,670.68      2,138,982.24
净利润(万元)                             126,852.87             36,026.16         90,254.70
归属于母公司股东的净利润(万元)           179,376.42             74,727.83       124,499.06
扣除非经常性损益后归属于母公司股
                                               -52,209.54        -61,685.35         27,327.59
东的净利润(万元)
基本每股收益(元)                                  0.34               0.14              0.27
稀释每股收益(元)                                  0.33               0.14              0.27
加权平均净资产收益率                               4.25%             1.99%             4.26%
经营活动产生的现金流量净额(万元)         813,999.25           520,990.89        776,907.50
现金分红(万元)                                        -                 -                 -
研发投入占营业收入的比例                         21.55%             19.42%            16.72%


四、发行人的主营业务情况

    中芯国际是全球领先的集成电路晶圆代工企业之一,也是中国大陆技术最先

进、规模最大、配套服务最完善、跨国经营的专业晶圆代工企业,主要为客户提

供 0.35 微米至 14 纳米多种技术节点、不同工艺平台的集成电路晶圆代工及配套

服务。

    在逻辑工艺领域,中芯国际是中国大陆第一家实现 14 纳米 FinFET 量产的晶

圆代工企业,代表中国大陆自主研发集成电路制造技术的最先进水平;在特色工

艺领域,中芯国际陆续推出中国大陆最先进的 24 纳米 NAND、40 纳米高性能图

像传感器等特色工艺,与各领域的龙头公司合作,实现在特殊存储器、高性能图

像传感器等细分市场的持续增长。

                                           33
中芯国际集成电路制造有限公司                                                  招股意向书

     除集成电路晶圆代工业务外,中芯国际亦致力于打造平台式的生态服务模式,
为客户提供设计服务与 IP 支持、光掩模制造、凸块加工及测试等一站式配套服
务,并促进集成电路产业链的上下游合作,与产业链各环节的合作伙伴一同为客
户提供全方位的集成电路解决方案。

     集成电路晶圆代工是公司主营业务收入的主要来源,报告期内占主营业务收
入的比例分别为 95.94%、89.30%及 93.12%。各期集成电路晶圆代工业务按照工
艺制程划分的收入结构如下:

                       2019 年度                2018 年度                2017 年度
    项目
                 金额(万元) 比例        金额(万元) 比例        金额(万元) 比例
14 及 28 纳米      86,391.91      4.32%    124,522.10      6.19%    163,397.35      8.12%
40/45 纳米        347,382.50     17.37%    388,996.97     19.33%    392,131.57     19.48%
55/65 纳米        545,767.88     27.30%    449,694.17     22.34%    415,599.50     20.65%
90 纳米            32,965.44      1.65%     39,304.03      1.95%     30,082.50      1.49%
0.11/0.13 微米    132,631.14      6.63%    157,945.27      7.85%    238,495.95     11.85%
0.15/0.18 微米    770,823.18     38.55%    776,154.41     38.56%    713,867.06     35.46%
0.25/0.35 微米     83,417.25      4.17%     76,197.38      3.79%     59,369.68      2.95%
    合计         1,999,379.30   100.00%   2,012,814.34   100.00%   2,012,943.61   100.00%


五、发行人技术先进性、模式创新性、研发技术产业化情况以及未来

发展战略

(一)行业地位

     中芯国际是全球领先的集成电路晶圆代工企业之一,也是中国大陆技术最先

进、规模最大、配套服务最完善、跨国经营的专业晶圆代工企业。

     根据 IC Insights 公布的 2018 年纯晶圆代工行业全球市场销售额排名,中芯

国际位居全球第四位,在中国大陆企业中排名第一。

(二)技术先进性

     中芯国际成功开发了 0.35 微米至 14 纳米多种技术节点,应用于不同工艺平

台,具备逻辑电路、电源/模拟、高压驱动、嵌入式非挥发性存储、非易失性存

储、混合信号/射频、图像传感器等多个工艺平台的量产能力,可为客户提供通


                                            34
中芯国际集成电路制造有限公司                                  招股意向书


讯产品、消费品、汽车、工业、计算机等不同领域的集成电路晶圆代工及配套服

务。

    在逻辑工艺领域,中芯国际是中国大陆第一家实现 14 纳米 FinFET 量产的晶

圆代工企业,代表中国大陆自主研发集成电路制造技术的最先进水平;在特色工

艺领域,中芯国际陆续推出中国大陆最先进的 24 纳米 NAND、40 纳米高性能图

像传感器等特色工艺,与各领域的龙头公司合作,实现在特殊存储器、高性能图

像传感器等细分市场的持续增长。

    除集成电路晶圆代工外,中芯国际亦致力于打造平台式的生态服务模式,为
客户提供设计服务与 IP 支持、光掩模制造、凸块加工及测试等一站式配套服务,
并促进集成电路产业链的上下游合作,与产业链各环节的合作伙伴一同为客户提
供全方位的集成电路解决方案。


(三)未来发展规划

    集成电路产业是支撑国民经济和社会发展的基础性、战略性、先导性产业,

也是资金密集、技术密集、人才密集的高科技产业,集成电路制造是集成电路产

业的核心环节。中芯国际将继续坚持国际化、市场化方向,致力于先进逻辑工艺

和丰富的高质量特色工艺技术平台的研发及产能布局,致力于生产、运营及相关

服务的不断优化及效率提升,努力为国内外客户提供高质量的代工服务,为客户

创造更大价值,实现自身健康成长,努力跻身于世界一流半导体企业行列,为全

行业发展乃至全社会的进步作出积极贡献!


六、发行人选择的具体上市标准

    根据《科创板上市规则》《国务院办公厅转发证监会关于开展创新企业境内

发行股票或存托凭证试点若干意见的通知》(国办发〔2018〕21 号)及《关于创

新试点红筹企业在境内上市相关安排的公告》(中国证券监督管理委员会公告

〔2020〕26 号),发行人作为已在境外上市的红筹企业选择的具体上市标准为:

“市值 200 亿元人民币以上,且拥有自主研发、国际领先技术,科技创新能力较

强,同行业竞争中处于相对优势地位。”


                                   35
中芯国际集成电路制造有限公司                                           招股意向书


七、发行人公司治理特殊安排及其他重要事项

      发行人为一家设立于开曼群岛并在香港联交所上市的红筹企业,治理模式与

适用中国法律、法规和规范性文件的一般 A 股上市公司的公司治理模式存在一

定差异,具体参见本招股意向书“第七节 公司治理与独立性”之“二、注册地

的公司法律制度、《公司章程》与境内《公司法》等法律制度的主要差异”。


八、募集资金用途

      2020 年 6 月 1 日,公司召开的股东特别大会审议通过了《有关人民币股份

发行及特别授权之决议案》及《有关人民币股份发行募集资金的用途之决议案》,

公司拟向社会公开发行不超过 168,562.00 万股人民币普通股(行使超额配售选择

权之前),实际募集资金扣除发行费用后的净额计划投入以下项目:

                                                                        单位:万元
 序号                项目名称                  募集资金投资额      拟投入资金比例
  1      12 英寸芯片 SN1 项目                         800,000.00           40.00%
  2      先进及成熟工艺研发项目储备资金               400,000.00           20.00%
  3      补充流动资金                                 800,000.00           40.00%
                   合计                             2,000,000.00          100.00%

      如果募集资金超过上述投资项目的总额,公司将按照有关规定履行必要的程

序后将超募资金用于公司主营业务。如果本次发行募集资金不足,公司将通过自

筹资金解决募投项目资金缺口。

      本次发行公司可能因主承销商行使超额配售选择权而增发股份,获得的超额

配售募集资金将用于各子公司集成电路生产线建设及适用法律法规和证券监管

部门允许的其他用途。

      本次募集资金到位之前,公司可以根据项目进展情况使用自筹资金先行投入,

募集资金到位后,公司将首先置换前期投入的自筹资金,剩余款项按照募集资金

使用的相关规定用于募投项目的后续建设。




                                          36
中芯国际集成电路制造有限公司                                                     招股意向书


                              第三节 本次发行概况

一、本次发行的基本情况
股票种类                  人民币普通股(A 股)
每股面值                  0.004 美元
                          168,562.00 万股(行使超额     占发行后总股本
发行股数                                                                     23.62%
                          配售选择权之前)              比例
                          168,562.00 万股(行使超额     占发行后总股本
其中:发行新股数量                                                           23.62%
                          配售选择权之前)              比例
                                                        占发行后总股本
股东公开发售股份数量      -                                                  -
                                                        比例
每股发行价格              【】元
发行人高管、员工拟参
                          -
与战略配售情况
保荐人相关子公司拟参      保荐机构将安排海通创投、中金财富参与本次发行战略配售,具
与战略配售情况            体按照上交所相关规定执行
发行市盈率                【】倍
发行后每股收益            【】元/股
发行前每股净资产          【】元/股
发行后每股净资产          【】元/股
发行市净率                【】倍
                          本次发行采用向战略投资者定向配售、网下向符合条件的投资者
发行方式                  询价配售和网上向持有上海市场非限售 A 股股份和非限售存托凭
                          证市值的社会公众投资者定价发行相结合的方式进行
                          符合资格的战略投资者、询价对象以及已开立上海证券交易所股
发行对象                  票账户并开通科创板交易的境内自然人、法人等科创板市场投资
                          者,但法律、法规及上海证券交易所业务规则等禁止参与者除外
承销方式                  余额包销
                          本次发行费用总额为【】万元,其中:
                          1、保荐及承销费用:本次募集资金总额×1.30%;
                          2、审计费用:329.29 万元;
发行费用概算7
                          3、律师费用:1,301.38 万元;
                          4、用于本次发行的信息披露费用:490.00 万元;
                          5、发行手续费用及其他:55.85 万元。




7
  发行手续费中暂未包含本次发行的印花税,税基为包括超额配售选择权的扣除印花税前的募集资金净额,
税率为 0.025%,将结合最终发行情况计算并纳入发行手续费;各项费用根据发行结果可能会有调整,以上
各项发行费用均含增值税。

                                             37
中芯国际集成电路制造有限公司                                            招股意向书


二、本次发行的有关当事人

(一)联席保荐人(主承销商):海通证券股份有限公司
法定代表人           周杰
住所                 上海市黄浦区广东路 689 号
联系电话             021-23219000
传真                 021-63411627
保荐代表人           郑瑜、陈城
项目协办人           徐亦潇
                     孙炜、丁昊、宋轩宇、马意华、韩锦玮、景炀、陈佳一、邬凯丞、
项目经办人
                     宋一波、陈颖涛、沈玉峰、张坤、程万里、吴志君、孙剑峰

(二)联席保荐人(主承销商):中国国际金融股份有限公司
法定代表人           沈如军
住所                 北京市朝阳区建国门外大街 1 号国贸大厦 2 座 27 层及 28 层
联系电话             010-65051166
传真                 010-65051156
保荐代表人           魏先勇、李扬
项目协办人           孔德明
                     孙雷、吴迪、陈恪舟、曹毅程、王若钰、张焓远、刘冰冰、徐放、
项目经办人
                     戴志远、何柳

(三)联席主承销商:国泰君安证券股份有限公司
法定代表人           贺青
住所                 中国(上海)自由贸易试验区商城路 618 号
联系电话             021-38676666
传真                 021-38670666
                     吴同欣、黄央、张希朦、陈浩、陈亮、孙力、张杰、陈嘉韡、周润
项目经办人
                     楠

(四)联席主承销商:中信建投证券股份有限公司
法定代表人           王常青
住所                 北京市朝阳区安立路 66 号 4 号楼
联系电话             021-68801573
传真                 021-68801551
项目经办人           王伟、董军峰、于宏刚、张铁、张林、李盛杰、周璞、王嘉琪

(五)联席主承销商:国开证券股份有限公司
法定代表人           张宝荣
住所                 北京市西城区阜成门外大街 29 号 1-9 层


                                       38
中芯国际集成电路制造有限公司                                          招股意向书

联系电话             010-88300685、88300772
传真                 010-88300793
项目经办人           周涛、姬梦娜、张晗之、陈宇亭、王相栋、马磊

(六)联席主承销商:摩根士丹利华鑫证券有限责任公司
法定代表人           钱菁
                     中国(上海)自由贸易试验区世纪大道 100 号上海环球金融中心 75
住所
                     楼 75T30 室
联系电话             021-20336000
传真                 021-20336040
项目经办人           李启迪、单一、江伟、王希晨、胡竞雯、李佳娇

(七)发行人律师:上海市锦天城律师事务所
负责人               顾功耘
住所                 上海市浦东新区银城中路 501 号上海中心大厦 11、12 楼
联系电话             021-20511000
传真                 021-20151999
经办律师             鲍方舟、王立、沈诚、杨继伟

(八)保荐机构(主承销商)律师:北京德恒律师事务所
负责人               王丽
住所                 北京市西城区金融街 19 号富凯大厦 B 座 12 层
联系电话             010-52682888
传真                 010-52682999
经办律师             徐建军、王雨微、沈宏山、杨勇、唐思杰

(九)保荐机构(主承销商)律师:上海市方达律师事务所
负责人               齐轩霆
住所                 中国上海市石门一路 288 号兴业太古汇香港兴业中心二座 24 楼
联系电话             021-22081166
传真                 021-52985599
经办律师             楼伟亮、刘一苇、邱晨盛

(十)审计机构:普华永道中天会计师事务所(特殊普通合伙)
负责人               李丹
                     中国(上海)自由贸易试验区陆家嘴环路 1318 号星展银行大厦 507
住所
                     单元 01 室
联系电话             021-23238888
传真                 021-23238800
经办注册会计师       高建斌、胡玉琢


                                       39
中芯国际集成电路制造有限公司                                             招股意向书


(十一)股票登记机构:中国证券登记结算有限责任公司上海分公司
住所                  上海市浦东新区陆家嘴东路 166 号中国保险大厦 3 楼
电话                  021-58708888
传真                  021-58899400

(十二)收款银行:兴业银行北京分行
户名                  海通证券股份有限公司
账号                  321010100100250412

(十三)申请上市证券交易所:上海证券交易所
住所                  上海市浦东南路 528 号证券大厦
联系电话              021-68808888
传真                  021-68807813


三、发行人与本次发行有关中介机构关系等情况

       根据《上海证券交易所科创板股票发行与承销实施办法》等相关法律、法规

和规范性文件的规定,保荐机构子公司海通创投、中金财富将参与本次发行战略

配售,并对获配股份设定限售期,具体认购数量、金额等内容在发行前确定并公

告。

       联席保荐机构(主承销商)海通证券的董事长周杰目前担任发行人非执行董

事。截至 2020 年 5 月 22 日,海通证券的权益投资交易部持有发行人 48,900,000

股股份;海通证券香港子公司 Haitong International Securities Group Limited 持有

发行人 6,633,000 股股份。海通证券合计持有发行人的股份约占其截至 2019 年

12 月 31 日股份总数的 1.10%,均为日常业务相关的市场化行为。上述情形符合

《证券法》《证券发行上市保荐业务管理办法》等相关规定,不影响保荐机构公

正履行保荐职责。

       截至 2020 年 5 月 22 日,联席保荐机构(主承销商)中金公司的资产管理业

务股票账户持有发行人 123,500 股股份;中金公司的衍生品自营账户持有发行人

2,000 股股份;中金公司香港子公司 CICC Financial Trading Limited 及 CICC

Financial Products Limited 合计持有发行人 3,651,000 股股份。中金公司合计持有

发行人的股份约占其截至 2019 年 12 月 31 日股份总数的 0.07%,均为日常业务

                                       40
中芯国际集成电路制造有限公司                                        招股意向书


相关的市场化行为。上述情形符合《证券法》《证券发行上市保荐业务管理办法》

等相关规定,不影响保荐机构公正履行保荐职责。

    联席主承销商国开证券股份有限公司与国开金融有限责任公司同受国家开

发银行控制。国开金融有限责任公司为发行人非执行董事路军担任副总裁的公司。


四、本次发行上市的重要日期
刊登初步询价公告日期   2020 年 6 月 30 日
初步询价日期           2020 年 7 月 2 日
刊登发行公告日期       2020 年 7 月 6 日
申购日期               2020 年 7 月 7 日
缴款日期               2020 年 7 月 9 日
股票上市日期           本次股票发行结束后将尽快申请在上海证券交易所科创板上市


五、本次战略配售情况

(一)配售数量

    本次发行的初始战略配售股票数量为 84,281.00 万股,约占超额配售选择权

行使前初始发行股票数量的 50.00%,超额配售选择权全额行使后本次发行股票

总数的 43.48%。最终战略配售数量与初始战略配售数量的差额部分首先回拨至

网下发行。

(二)配售对象

    本次发行的战略配售由联席保荐机构相关子公司跟投和其他战略投资者组

成,跟投机构分别为海通创投和中金财富,其他战略投资者的类型为:与发行人

经营业务具有战略合作关系或长期合作愿景的大型企业或其下属企业,具有长期

投资意愿的国家级大型投资基金或其下属企业以及符合法律法规、业务规则规定

的其他战略投资者。

    其中,发行人在《香港上市规则》项下的关连人士中国信息通信科技集团有

限公司、上海集成电路基金一期于 2020 年 6 月 2 日分别与发行人及保荐机构(主

承销商)签署了股份认购协议,将作为战略投资者分别以不超过 20 亿元、5 亿



                                           41
中芯国际集成电路制造有限公司                                   招股意向书


元参与本次发行上市的战略配售。上述事项已经 2020 年 6 月 23 日召开的股东特

别大会审议通过。

(三)保荐机构相关子公司拟参与战略配售情况

    联席保荐机构将安排联席保荐机构依法设立的相关子公司海通创投、中金财

富参与本次发行战略配售,海通创投、中金财富将分别依据《上海证券交易所科

创板股票发行与承销业务指引》第十八条规定确定本次跟投的股份数量和金额,

预计跟投比例分别不超过本次公开发行数量的 2%,即 33,712,400 股。海通创投、

中金财富本次跟投获配股票的限售期均为 24 个月,限售期自本次公开发行的股

票在上交所上市之日起开始计算。


六、超额配售选择权的实施方案

(一)预期目标

    本次发行的初始发行股票数量为 168,562.00 万股,占发行后股份总数的 23.62%

(超额配售选择权行使前)。发行人授予海通证券不超过初始发行规模 15%的超

额配售选择权,若超额配售选择权全额行使,则发行股份总数将扩大至 193,846.30

万股,占发行后股份总数的 26.23%(超额配售选择权全额行使后)。本次超额配

售选择权的安排有利于促进发行人上市后的股价稳定。

(二)实施方案

    根据发行人授权,海通证券将担任本次发行具体实施超额配售选择权操作的

联席主承销商(以下简称―获授权联席主承销商‖)。

    自公司股票在上海证券交易所上市之日起 30 个自然日内,公司股票的市场

交易价格低于发行价格的,海通证券有权使用超额配售股票募集资金在连续竞价

时间以《上海证券交易所科创板股票交易特别规定》规定的本方最优价格申报方

式购买公司股票,且申报买入价格不得超过本次发行的发行价。另外,海通证券

以竞价交易方式买入的股票不得卖出。




                                    42
中芯国际集成电路制造有限公司                                  招股意向书


    海通证券未购买公司股票或者购买公司股票数量未达到全额行使超额配售

选择权发行股票数量的,可以要求公司按照发行价格增发股票。海通证券以竞价

交易方式购买的发行人股票与要求发行人增发的股票之和,不得超过《中芯国际

集成电路制造有限公司首次公开发行股票并在科创板上市发行公告》中披露的全

额行使超额配售选择权拟发行股票数量。

    超额配售选择权行使期届满或者累计购回股票数量达到采用超额配售选择

权发行股票数量限额的日期、因行使超额配售选择权而发行的新股数量、发行人

本次筹资总金额等情况将在超额配售选择权行使期届满或者累计购回股票数量

达到采用超额配售选择权发行股票数量限额的 2 个工作日内进行公告披露。

    海通证券在符合相关法律法规规定的条件下,可以在发行人股票上市后 30

个自然日内以超额配售股票所得的资金从二级市场买入本次发行的股票以支持

股价,但该措施并不能保证股价不下跌。海通证券在超额配售选择权行使期届满

或者累计购回股票数量达到采用超额配售选择权发行股票数量限额的 5 个工作

日内,将超额配售选择权专用账户上所有股份向同意递延交付股票的战略投资者

交付。海通证券在发行人股票上市后 30 个自然日之后或行使超额配售选择权后,

将不再采取上述措施支持股价。

(三)配售安排

    本次超额配售股票将通过向本次发行的部分战略投资者递延交付的方式获

得,并全部向网上投资者配售。最终超额配售情况将在《中芯国际集成电路制造

有限公司首次公开发行股票并在科创板上市网上发行申购情况及中签率公告》中

公布。

(四)操作策略

    获授权联席主承销商海通证券已根据《证券发行与承销管理办法》《科创板

首次公开发行股票注册管理办法(试行)》《上海证券交易所科创板股票发行与承

销实施办法》《上海证券交易所科创板股票发行与承销业务指引》《科创板首次公

开发行股票承销业务规范》等相关法律法规、监管规定及自律规则等文件制定了


                                   43
中芯国际集成电路制造有限公司                                   招股意向书


《海通证券股份有限公司科创板首次公开发行股票超额配售选择权业务实施细

则》。海通证券已根据该实施办法制定具体操作策行使超额配售选择权。

(五)预期效果

    因行使超额配售选择权超额发行的股数=发行时超额配售股数-使用超额

配售股票所获得的资金从二级市场净买入的股数。具体行使超额配售选择权包括

以下三种情况:

    1、绿鞋不行使。分两种情况:(1)未进行超额配售;(2)进行了超额配售,

但获授权联席主承销商从二级市场净买入的股票数量与超额配售股数相同。

    2、绿鞋全额行使。超额配售股数为本次发行初始发行规模的 15%,且获授

权联席主承销商从二级市场净买入本次发行的股票数量为零,并要求发行人超额

发行本次发行初始发行规模 15%的股票。

    3、绿鞋部分行使。分两种情况:(1)超额配售股数为本次发行初始发行规

模的 15%,且获授权联席主承销商从二级市场净买入的股票数量小于超额配售股

数,因此要求发行人超额发行的股票数量小于本次发行初始发行规模的 15%;2)

超额配售股数小于本次发行初始发行规模的 15%,获授权联席主承销商从二级市

场净买入本次发行的股票数量为零或净买入的股票数量小于超额配售股数,因此

要求发行人超额发行的股票数量小于本次发行初始发行规模的 15%。

    海通证券在符合相关法律法规规定的条件下,可在发行人股票上市后 30 个

自然日内以超额配售股票所得的资金从二级市场买入本次发行的股票以支持股

价,但该措施并不能保证股价不下跌。海通证券在发行人股票上市后 30 个自然

日之后或行使超额配售选择权后,将不再采取上述措施支持股价。




                                   44
中芯国际集成电路制造有限公司                                     招股意向书


                           第四节 风险因素

    投资者在评价发行人此次公开发行股票时,除本招股意向书提供的其他各

项资料外,应特别考虑下述各项风险因素。以下风险因素可能直接或间接对发

行人及本次发行产生重大不利影响。发行人提请投资者仔细阅读本节全文。


一、技术风险

(一)研发风险

    公司所处的集成电路晶圆代工行业属于技术密集型行业,集成电路晶圆代工

涉及数十种科学技术及工程领域学科知识的综合应用,具有工艺技术迭代快、资

金投入大、研发周期长等特点。多年来,公司坚持自主研发的道路,进一步巩固

自主化核心知识产权,并致力打造领先于国内乃至国际同类应用的技术平台。

    集成电路晶圆代工的技术含量较高,需要经历前期的技术论证及后期的不断

研发实践,周期较长。如果公司未来不能紧跟行业前沿需求,正确把握研发方向,

可能导致工艺技术定位偏差。同时,新工艺的研发过程较为复杂,耗时较长且成

本较高,存在不确定性。如果公司不能及时推出契合市场需求且具备成本效益的

技术平台,可能导致公司竞争力和市场份额有所下降,从而影响公司后续发展。

    此外,新技术平台的研发需要大量的资金投入。报告期内,公司研发投入分

别为 357,607.78 万元、447,090.01 万元及 474,445.66 万元,占营业收入的比例分

别为 16.72%、19.42%及 21.55%。如果公司未来技术研发的投入不足,不能支撑

技术升级的需要,可能导致公司技术被赶超或替代,进而对公司的持续竞争力产

生不利影响。

(二)技术人才短缺或流失的风险

    集成电路晶圆代工行业亦属于人才密集型行业。集成电路晶圆代工涉及上千

道工艺、数十门专业学科知识的融合,需要相关人才具备扎实的专业知识和长期

的技术沉淀。同时,各环节的工艺配合和误差控制要求极高,需要相关人才具备



                                    45
中芯国际集成电路制造有限公司                                    招股意向书


很强的综合能力和经验积累。优秀的研发人员及工程技术人员是公司提高竞争力

和持续发展的重要基础。

    截至 2019 年 12 月 31 日,公司拥有技术研发人员 2,530 人,占公司员工总

数的 16.02%。公司多年来一直高度重视人力资源的科学管理,制定了较为合理

的人才政策及薪酬管理体系,针对优秀人才实施了多项激励措施,对稳定和吸引

技术人才起到了积极作用。但是近年来在国家政策的大力支持下,集成电路企业

数量高速增长,行业优秀技术人才的供给存在一定缺口,人才争夺日益激烈。如

果公司优秀的技术研发人才离职,而公司无法在短期内招聘到经验丰富的技术人

才,可能影响到公司的工艺研发和技术突破,对公司的持续竞争力产生不利影响。

(三)技术泄密风险

    经过多年的技术创新和研发积累,公司的技术水平处于世界较先进行列。与

此同时,公司十分重视对核心技术的保护工作,制定了包括信息安全保护制度在

内的一系列严格完善的保密制度,并和相关技术人员签署了保密协议,对其离职

后做出了严格的竞业限制规定,以确保核心技术的保密性。但由于技术秘密保护

措施的局限性、技术人员的流动性及其他不可控因素,公司仍存在核心技术泄密

的风险。如上述情况发生,可能在一定程度上削弱公司的技术优势并产生不利影

响。

(四)晶圆代工领域技术升级迭代风险

    集成电路丰富的终端应用场景决定了各细分领域芯片产品的主流技术节点

与工艺存在差异,且技术迭代与相应市场需求变化较快。

    先进工艺一般一到三年往前推进一代,如行业龙头 2015 年量产 16 纳米/14

纳米,2016 年量产 10 纳米,2018 年量产 7 纳米,2020 年量产 5 纳米。若晶圆代

工厂商技术迭代大幅落后于产品应用的工艺要求,则无法满足市场和客户的需求。

    公司目前已实现 14 纳米量产,下一代工艺已进入客户导入阶段,但相较于

行业龙头已量产更先进制程的现状,公司在工艺制程上与行业龙头公司仍存在一

定差距。

                                    46
中芯国际集成电路制造有限公司                                    招股意向书


    在行业技术快速迭代的背景下,如公司在先进制程领域不能及时根据市场需

求实现更先进节点的量产,或在成熟制程领域不能及时根据市场需求开发相应的

特色工艺平台,均有可能使得公司错失相应的市场空间,进而对公司的竞争力与

持续盈利能力产生影响。


二、经营风险

(一)目前公司 14 纳米及 28 纳米制程产品收入占比较低,28 纳米制程产品产

能过剩、收入持续下降、毛利率为负的风险

    报告期各期,公司 28 纳米制程产品收入分为 163,397.35 万元、124,522.10

万元及 80,685.76 万元,占比分别为 8.12%、6.19%及 4.03%,收入及占比持续下

降;公司于 2019 年第四季度开始量产 14 纳米制程产品,相关收入为 5,706.15 万

元,占比为 0.29%。此外,由于 28 纳米制程相关的产线仍面临较高的折旧压力,

报告期内公司 28 纳米产品毛利率为负。

    同时,由于目前 28 纳米全球纯晶圆代工厂商的产能布局较多,造成全球 28

纳米市场产能过剩。公司出于市场经营策略和客户需求考虑,在满足订单需求的

前提下,优化产品组合,将部分原用于 28 纳米制程的通用设备转用于生产盈利

较高的其他制程产品。

    未来,如果 28 纳米和 14 纳米相关客户需求未能快速提升,公司面临 28 纳

米制程产品产能过剩、收入持续下降,14 纳米及 28 纳米制程产品收入占比较低、

毛利率为负的风险。

(二)公司研发与生产需持续投入巨额资金的风险

    集成电路晶圆代工行业属于资本密集型行业。为持续追赶世界先进工艺,不

断升级现有工艺技术平台以保持市场竞争优势,并保证充足的产能以满足订单生

产需求,提高核心竞争力,公司需要持续进行巨额的资金投入。




                                    47
中芯国际集成电路制造有限公司                                     招股意向书


    报告期各期,公司购建固定资产、无形资产和其他长期资产支付的现金分别

为 1,584,443.86 万元、1,160,292.93 万元及 1,272,275.49 万元;研发投入分别为

357,607.78 万元、447,090.01 万元及 474,445.66 万元。

    未来,如果公司不能获取足够的经营收益,或者融资受限,导致资金投入减

少,可能对公司的竞争优势产生不利影响。

(三)晶圆代工市场竞争激烈,公司与行业龙头相比技术差距较大、目前市场

占有率较低的风险

    随着 5G、物联网、人工智能和云计算等新应用领域的不断涌现,芯片产业

发展的热点领域在不断丰富,广阔的市场前景及较为有利的产业政策吸引了诸多

境内外集成电路相关企业布局集成电路晶圆代工行业,可能将导致市场竞争进一

步加剧。

    根据公开信息整理,行业龙头分别于 2015 年、2016 年及 2018 年实现了 16

纳米、10 纳米及 7 纳米制程的量产,中芯国际 14 纳米制程的量产时间为 2019

年;根据 IC Insights 统计,2018 年度行业龙头占全球纯晶圆代工市场份额的 59%,

中芯国际占 6%。

    如果公司无法及时开发和引进最新的制造工艺技术,或推出能够更好地满足

客户需求的工艺平台,将削弱公司的竞争优势,并对公司的经营业绩产生不利影

响。

(四)宏观经济波动和行业周期性的风险

    公司主要为客户提供基于多种技术节点、不同工艺平台的集成电路晶圆代工

及配套服务,下游应用领域广泛,产品及服务覆盖了包括消费电子、信息通讯、

计算机、汽车及工业在内的多个重要经济领域。

    受到全球宏观经济的波动、行业景气度等因素影响,集成电路行业存在一定

的周期性。因此,集成电路行业的发展与宏观经济整体发展亦密切相关。如果宏

观经济波动较大或长期处于低谷,集成电路行业的市场需求也将随之受到影响;

另外下游市场需求的波动和低迷亦会导致集成电路产品的需求下降,进而影响集

                                     48
中芯国际集成电路制造有限公司                                      招股意向书


成电路晶圆代工企业的盈利能力。宏观经济环境以及下游市场的整体波动可能对

公司的经营业绩造成一定的影响。

(五)客户集中度较高的风险

    由于集成电路晶圆代工的下游行业市场存在集中度较高的特点,报告期内公

司客户集中度较高,来源于前五大客户的收入占当期营业收入总额的比例分别为

50.45%、45.22%及 43.21%。随着产品结构日趋多元化,公司的客户集中度在报

告期内有所下降,但仍处于较高水平。虽然公司凭借自身的研发实力、产品质量、

产能支持、服务响应等优势,与主要客户建立了较为稳固的合作关系。但是如果

未来主要客户的生产经营发生重大问题,将对公司的业绩稳定性和持续盈利能力

产生不利影响。

(六)原材料和设备供应的风险

    集成电路晶圆代工行业对原材料和设备有较高要求,部分重要原材料及核心

设备在全球范围内的合格供应商数量较少,大多来自中国境外。

    未来,如果公司的重要原材料或者核心设备发生供应短缺、价格大幅上涨,

或者供应商所处的国家和地区与中国发生贸易摩擦、外交冲突、战争等进而影响

到相应原材料及设备的出口许可,且公司未能及时形成有效的替代方案,将会对

公司生产经营及持续发展产生不利影响。

(七)美国出口管制政策调整的风险

    目前,经济全球化遭遇波折,多边主义受到冲击,国际金融市场震荡,特别

是中美经贸摩擦给一些企业的生产经营、市场预期带来不利影响。

    公司坚持国际化运营,自觉遵守生产经营活动所涉及相关国家和地区的法律、

法规,自成立以来合规运营,依法开展生产经营活动。

    2019 年 5 月,美国商务部将若干中国公司列入“实体名单”;2020 年 5 月,

美国商务部修订直接产品规则(Foreign-Produced Direct Product Rule),据此修订




                                     49
中芯国际集成电路制造有限公司                                  招股意向书


后的规则,若干自美国进口的半导体设备与技术,在获得美国商务部行政许可之

前,可能无法用于为若干客户的产品进行生产制造。

    上述修订的规则中,仍然有许多不确定的法律概念,其具体影响的程度,目

前尚未能准确评估。上述中美经贸摩擦等相关外部因素,可能导致公司为若干客

户提供的晶圆代工及相关配套服务受到一定限制。公司可能面临生产受限、订单

减少的局面,进而对公司的业务发展和经营业绩产生不利影响。

(八)贸易摩擦的风险

    报告期内,公司来自中国大陆及香港以外的国家和地区的主营业务收入占比

分别为 52.74%、40.91%及 40.61%,其中来自美国的主营业务收入占比分别为

40.01%、31.61%及 26.36%。同时,公司主要材料及设备供应商多数为境外公司,

分别来自于日本、韩国、荷兰、美国等国家。

    未来,如果相关国家与中国的贸易摩擦持续升级,限制进出口或提高关税,

公司可能面临设备、原材料短缺和客户流失等风险,进而导致公司生产受限、订

单减少、成本增加,对公司的业务和经营产生不利影响。

(九)产业政策变化的风险

    集成电路产业作为信息产业的基础和核心,是国民经济和社会发展的战略性

产业。近年来,国家出台了包括《国务院关于印发进一步鼓励软件产业和集成电

路产业发展若干政策的通知》(国发[2011]4 号)在内的一系列政策,从财税、投

融资、研究开发、进出口、人才、知识产权、市场等方面为集成电路企业提供了

更多的支持,以推动集成电路行业发展,增强信息产业创新能力和国际竞争力。

未来如果国家相关产业政策出现重大不利变化,将对公司发展产生一定不利影响。

(十)新型冠状病毒疫情影响正常生产经营的风险

    2020 年初,新型冠状病毒疫情爆发,致使全球多数国家和地区遭受了不同

程度的影响。为应对疫情,公司制定有效的疫情应急防控计划,实施各项防护措

施,确保在抗击疫情的同时安全生产,本次疫情尚未对公司造成重大不利影响。



                                   50
中芯国际集成电路制造有限公司                                    招股意向书


    虽然目前公司的供应商仍然维持正常的生产及供应,公司也通过增加物资储

备以增强抗风险能力。但是国际航班的减少及运力的紧张使得设备、材料供应商

的交付周期变长,运输价格的上调将导致公司后续的采购成本增加,人员流动隔

离要求也限制了供应商的工程师提供跨国技术配套服务。因此未来若疫情在全球

范围内无法得到及时有效地控制或者出现反复,公司仍可能面临供应中断的风险。

此外,航班数量、货运时间、运费等因素也可能对公司的出口销售带来一定不利

影响。


三、管理内控风险

(一)无控股股东和实际控制人的风险

    报告期内,公司任何单一股东持股比例均低于 30.00%。截至 2019 年 12 月

31 日,公司第一大股东大唐香港持股比例为 17.00%,第二大股东鑫芯香港持股

比例为 15.76%,董事会现有 14 位董事,各股东提名的董事人数均低于董事总人

数的二分之一,不存在单一股东通过实际支配公司股份表决权能够决定公司董事

会半数以上成员选任或足以对股东大会的决议产生重大影响的情形,且公司主要

股东之间无关联关系、一致行动关系,因此,公司无控股股东和实际控制人。

    公司股权相对分散,使得公司未来有可能成为被收购对象,进而导致公司控

制权发生变化,可能会给公司业务发展和经营管理等带来一定影响。

(二)公司子公司较多带来的管理控制风险

    截至 2019 年 12 月 31 日,公司共有子公司 37 家,其中境内子公司 17 家,

境外子公司 20 家,分布在多个国家和地区。未来,若子公司发生经营、合规、

税务等方面风险,可能对公司的经营业绩造成相关不利影响。

    此外,公司的控股子公司中芯北方、中芯南方均为中外合资企业,中芯北方

和中芯南方分红等事项需全体董事的三分之二以上批准;同时,中芯南方的分红

等事项还需取得其他股东委派董事的同意。因此,公司无法单方面决定中芯北方

和中芯南方分红等重大事项。



                                   51
中芯国际集成电路制造有限公司                                  招股意向书


    公司存在因子公司较多带来的管理控制风险。


四、财务风险

(一)扣除非经常性损益后归属于母公司股东的净利润持续为负的风险

    报告期内,由于先进和成熟工艺生产线的扩产尚未体现规模效应,公司面临

较高的折旧压力,且研发投入不断增大,使得公司 2018 年、2019 年扣除非经常

性损益后归属于母公司股东的净利润为负,分别为-61,685.35 万元、-52,209.54

万元。

    集成电路晶圆代工行业是资本密集型行业,新产线投产后会在短期内面临较

高的折旧负担,且部分新工艺平台升级带来的销售收入增长具有一定的滞后性,

对晶圆代工企业的盈利水平产生一定影响。

    未来,如果客户需求增长缓慢,公司产能利用率无法提升,折旧大幅增加,

或者研发投入未能及时形成收入的增加,公司可能面临扣除非经常性损益后归属

于母公司股东的净利润持续为负的风险。

(二)公司未来一定时期内折旧费用进一步增加的风险

    截至报告期末,公司合计在建工程账面价值为 1,705,966.79 万元,占资产总

额的比例为 14.86%,上述在建工程将在达到预定可使用状态时转入固定资产并

开始计提折旧。此外,公司未来将继续在成熟和先进制程领域进行产能扩张,亦

会在一定时期内增加在建工程金额。随着在建工程项目陆续达到预定可使用状态,

并转入固定资产,公司在一定时期内面临折旧费用进一步增加的风险。

    此外,中芯南方在建工程账面价值为 1,054,065.31 万元,预计 2020 年下半

年开始陆续转入固定资产,一定时期内可能面临较大折旧压力,导致中芯南方扣

非后净利润下滑,甚至出现扣非后净利润产生大额亏损的风险,可能会对公司整

体扣非后归母净利润产生较大影响。




                                   52
中芯国际集成电路制造有限公司                                    招股意向书


(三)毛利率降低的风险

    报告期各期,公司综合毛利率分别为 24.76%、23.02%及 20.83%,其中,集

成电路晶圆代工毛利率分别为 24.96%、17.31%及 19.52%,2018 年度存在一定下

降,主要系 2018 年下半年集成电路行业景气度下降所致。面对全球宏观形势的

波动,公司于 2019 年优化了产品结构,提高了产能利用率,使得当年毛利率有

所回升。

    未来,如果集成电路行业整体情况发生不利变化、境内外客户需求未达预期

从而影响到公司产品的销量及价格、或者主要原材料价格大幅上涨、公司加速产

能扩充,以及先进制程产线的投产,将使得公司一定时期内折旧费用占比大幅增

加。同时,公司在未来短期内可能面临毛利率波动的风险。

(四)税收优惠政策发生变化的风险

    中芯上海、中芯天津、中芯北京、中芯北方、中芯长电均具备高新技术企业
资格。其中,中芯上海在报告期内享受高新技术企业 15%的企业所得税优惠税率;
中芯天津、中芯北京分别于 2013 年、2015 年开始盈利,目前处于享受集成电路
生产企业“五免五减半”的企业所得税优惠期内;中芯北方、中芯长电处于亏损
阶段。


    上述税收优惠政策对公司报告期各期的所得税减免额分别为 59,898.38 万元

及 65,456.17 万元及 67,401.21 万元。未来,如果上述税收优惠政策发生变化或者

上述子公司不再符合相关资质,将对公司未来的所得税费用产生不利影响。

(五)应收款项坏账的风险

    公司报告期内的主要客户均为境内外知名的集成电路设计公司及 IDM 公司,

规模较大,信用水平较高,应收账款回款良好。报告期各期,公司应收账款周转

率分别为 7.16、8.25 及 7.05,表现出较好的应收账款管理能力和资产周转能力。

    报告期各期末,公司应收账款余额分别为 262,429.44 万元、298,238.44 万元

及 330,537.29 万元,处于较高水平。虽然公司主要客户目前发生坏账的可能性较



                                    53
中芯国际集成电路制造有限公司                                      招股意向书


小,但未来如果部分客户的经营情况发生不利变化,公司仍将面临应收账款无法

收回导致的坏账损失风险。

(六)存货跌价及存货周转率降低风险

    报告期内,随着公司销售规模的稳步增长,各期末原材料、在产品、库存商

品等各类存货余额亦呈增长趋势,各期末存货余额分别为 470,616.08 万元、

515,740.56 万元及 534,609.86 万元。公司在年末分类进行减值测试,各期末计提

的存货跌价准备分别为 63,745.03 万元、71,375.63 万元及 95,616.61 万元。未来,

如果市场需求发生变化,使得部分存货的售价未能覆盖成本,公司将面临存货跌

价增加的风险。

    公司建立了完善的存货管理制度。但随着存货余额的增加,公司存货周转率

略有下降,各期分别为 4.42、4.16 及 3.95,使得公司对营运资金的需求有所增加。

未来,如果公司不能及时补充因业务规模扩大而引致的资金需求,较大的存货规

模和持续降低的存货周转速度将会影响公司整体的资金营运效率,并对公司经营

业绩产生一定的不利影响。

(七)汇率波动的风险

    中芯国际及各子公司的记账本位币主要为美元,而部分交易采用人民币、欧

元、日元等外币计价。外币货币性项目采用资产负债表日的即期汇率折算为记账

本位币,形成汇兑差额。报告期内,公司汇兑损益分别为 9,187.11 万元、7,475.94

万元及-4,754.08 万元。公司已通过远期外汇合同及货币交叉互换协议等措施对冲

汇率波动的影响。但是未来如果境内外经济环境、政治形势、货币政策等因素发

生变化,使得本外币汇率大幅波动,公司仍将面临汇兑损失的风险。

(八)外币报表折算的风险

    由于公司记账本位币主要为美元,而在 A 股科创板披露的财务数据则以人

民币列报,需对报表进行汇率折算。

    公司对外币报表进行折算时,资产负债表中的资产和负债项目采用资产负债

表日的即期汇率折算,股东权益中除未分配利润项目外,其他项目采用发生时的

                                     54
中芯国际集成电路制造有限公司                                 招股意向书


即期汇率折算;利润表中的收入与费用项目,采用交易发生日的即期汇率折算。

上述折算产生的外币报表折算差额,计入其他综合收益;现金流量项目,采用现

金流量发生日的即期汇率折算。汇率变动对现金的影响额,在现金流量表中单独

列示。

    未来,如果人民币兑美元汇率发生重大变化,将可能对折算后的人民币财务

数据带来一定偏差,一定程度上放大比较期间财务数据的变化幅度,并影响投资

者对财务报表的使用。

(九)投资者从控股型公司获取现金分红回报的风险

    公司的资金需求包括向公司股东支付股利及其他现金分配、支付公司在中国

境外可能发生的任何债务本息,以及支付公司的相关运营成本与费用。公司是一

家控股型公司,实际生产运营实体位于中国境内,境内运营子公司向发行人进行

股利分配是满足公司的资金需求的重要方式之一。

    作为一家设立在开曼群岛的红筹企业,公司分配现金股利的来源包括境内运

营子公司向母公司分配的利润、母公司的股份溢价或根据《开曼群岛公司法》所

允许的其他来源。截至 2019 年末,虽然公司及运营子公司中的中芯上海、中芯

天津、中芯北京拥有一定的未分配利润,但中芯北方、中芯南方、中芯新技术、

中芯深圳、中芯长电等其他运营子公司均存在未弥补亏损。根据相关境内子公司

适用的《公司法》等规定,境内公司弥补亏损和提取公积金后所余税后利润可以

向股东分配,在弥补亏损和提取法定公积金之前向股东分配利润的,股东必须将

违反规定分配的利润退还公司。如果境内子公司由于境内外法律法规的限制无法

通过分红或其他形式向公司提供资金,则可能影响投资者从公司获得的现金分红

回报。

    此外,公司注册在开曼群岛,因注册地政策变动、境内外外汇管制措施以及

相关的换汇、结算、审核等程序,可能导致境内 A 股公众股东取得公司分红派

息的时间较境外股东有所延迟;倘若在延迟期间发生汇率波动,可能导致境内公

众股东实际取得的分红派息与境外股东存在一定差异,进而对境内股东的权益造

成相应影响的风险。

                                  55
中芯国际集成电路制造有限公司                                  招股意向书


(十)外汇政策变化的风险

    公司是一家设立在开曼群岛,实际运营主体在中国大陆的红筹企业。报告期

内,公司来自于中国大陆及香港的主营业务收入占比分别为 47.26%、59.09%及

59.39%,其中部分销售以人民币结算。

    目前,人民币在股利分配、贸易和服务相关外汇交易等“经常项目”下允许

兑换;但在“资本项目”下换汇须获得相关政府部门或指定银行的批准或向其进

行登记,“资本项目”包括境外对境内的直接投资和贷款等。目前,公司境内子

公司中的外商投资企业可购汇对“经常项目”交易(包括向公司支付股利)进行

结算,只需遵守特定程序性要求即可。但是,相关监管部门未来可能对公司在“经

常项目”交易中的购汇行为作出限制。

    2017 年 1 月 26 日,国家外汇管理局颁布了《关于进一步推进外汇管理改革

完善真实合规性审核的通知》,旨在加强对跨境交易和跨境资本流动真实性、合

规性审核,包括要求银行在汇出外商投资企业 5 万美元以上的外汇股利分配之前

审核董事会决议、税务备案表以及经审计的财务报表。

    2019 年 5 月 27 日,中国人民银行、国家外汇管理局公布了《存托凭证跨境

资金管理办法(试行)》,规定境外公司以新增证券为基础在境内发行股票所募集

的资金可以人民币或外汇汇出境外,也可留存境内使用。已办理登记的境外公司,

如需将募集资金汇出境外,应持业务登记凭证到开户行办理相关资金汇出手续;

如将募集资金留存境内使用,应符合现行直接投资、全口径跨境融资等管理规定。

    未来任何外汇政策变化均可能影响到公司利用人民币为境外业务活动提供

资金。




                                   56
中芯国际集成电路制造有限公司                                    招股意向书


五、法律风险

(一)公司现行的公司治理结构与适用于中国境内法律、法规和规范性文件的

上市公司存在差异的风险

    公司为一家设立于开曼群岛并在香港联交所上市的红筹企业,现行的公司治

理制度主要系基于公司注册地和境外上市地的相关法律法规及规则制定,与目前

适用于注册在中国境内的一般 A 股上市公司的公司治理模式相比,在资产收益、

参与重大决策以及剩余财产分配等方面,存在一定差异。

    为本次 A 股发行上市,公司根据《国务院办公厅转发证监会关于开展创新

企业境内发行股票或存托凭证试点若干意见的通知》(国办发[2018]21 号)《科创

板上市规则》等境内法律法规修订了《公司章程》《内部审计章程》,并制定了《股

东大会议事规则》《董事会议事规则》《投资者关系管理制度》等具体治理制度,

使得公司在投资者权益保护水平包括资产收益、参与重大决策、剩余财产分配等

权益上,不低于境内法律法规规定的要求,以上制度将在本次 A 股发行上市后

生效。前述制度生效后,公司在某些公司治理的相关事项安排上,与在中国境内

的一般 A 股上市公司相比还存在一定差异,主要包括:监事会制度;公司合并、

分立、收购的程序和制度;公司清算、解散的程序和制度等。

    上述差异具体参见本招股意向书“第七节 公司治理与独立性”之“二、注

册地的公司法律制度、《公司章程》与境内《公司法》等法律制度的主要差异”。

(二)A 股公众股东通过诉讼手段寻求保护自己的权利面临一定不确定性的风险

    公司的 A 股公众股东可以依据《证券法》《中华人民共和国民事诉讼法》《中

华人民共和国涉外民事关系法律适用法》等法律法规及其相关的司法解释,在中

国境内有管辖权的人民法院提起民事诉讼,追究公司及其他相关责任人的法律责

任,包括在公司的信息披露内容出现虚假记载、误导性陈述或者重大遗漏并致使

A 股公众股东在证券交易中遭受损失时,A 股公众股东可追索赔偿责任。

    虽然 A 股公众股东可以依据中国相关法律法规向有管辖权的人民法院提起

诉讼、申请执行公司的境内资产,但是公司注册于开曼群岛,受开曼群岛大法院

                                    57
中芯国际集成电路制造有限公司                                  招股意向书


管辖,如 A 股公众股东向开曼群岛大法院起诉公司寻求保护自己的权利,鉴于

中国目前未与开曼群岛订立双边司法互助的协议或安排,开曼群岛大法院判决能

否在中国获得承认与执行,将存在一定的不确定性。

    同时,本次发行后,A 股公众股东持有的公司股票将统一登记、存管在中国

境内的证券登记结算机构。如某一 A 股公众股东拟依据开曼群岛法律向公司提

起证券诉讼或其他民事诉讼,该名 A 股公众股东须按中国境内相关业务规定取

得具有法律效力的证券登记记录,该等程序和限制可能导致境内投资者需承担额

外的跨境行使权利或者维护权利的成本和负担。

(三)公司注册地、上市地和子公司生产经营所涉及的司法辖区相关法律变化

的风险

    公司是一家设立于开曼群岛的红筹企业,须遵守开曼群岛相关法律的规定。

公司通过境内子公司于中国境内开展经营活动,并与设立在其他国家或地区的企

业存在采购、销售等往来,因此除了遵守包括但不限于《公司法》《中华人民共

和国外商投资法》《中华人民共和国合同法》等相关中国法律、法规和规范性文

件的规定外,还须遵守其生产经营活动所涉及的司法辖区相关法律、法规的规定。

公司于美国、欧洲、日本、中国香港等国家和地区设立有子公司,该等子公司亦

须遵守当地法律、法规的相关规定。

    公司及子公司注册地及生产经营活动所涉及的司法辖区的立法机关、政府部

门或其他监管机构可能不时发布、更新适用于公司或子公司的法律、法规、规范

性文件,该等法律、法规、规范性文件可能对公司或子公司产生实质影响。

    2019 年 1 月 1 日生效的《开曼群岛经济实质法》要求在开曼群岛注册成立

的从事“相关活动”的“相关实体”应当满足有关经济实质的要求。公司目前已

取得了《存续证明》并在开曼群岛委聘了注册代理,符合《开曼群岛经济实质法》

的简化的经济实质测试。但是鉴于《开曼群岛经济实质法》及其指引仍在进一步

完善过程中,如果公司从事的业务未来不能归类为“控股业务”,则需要满足更

加复杂的经济实质标准。其具体适用条件以及要求尚不明确,如果公司最终无法

满足,公司可能受到开曼群岛政府机构的处罚。

                                   58
中芯国际集成电路制造有限公司                                    招股意向书


    此外,本次发行上市后,公司将成为一家在香港联交所和上交所挂牌上市的

公司,需要同时接受两地证券监督管理机构和交易所的监管,并同时遵守包括《科

创板上市规则》《香港上市规则》等在内的相关法律、法规、规范性文件的规定。

    如果公司或子公司未能完全遵守相关政府机关、监管机构发布、更新的相关

规定,则可能面临相应的处罚,并对公司的生产经营、财务状况造成不利影响。

(四)知识产权的风险

    知识产权是公司在集成电路行业内保持自身竞争力的关键,主要包括专利、

集成电路布图设计、商业秘密等。截至 2019 年 12 月 31 日,登记在公司及其控

股子公司名下的与生产经营相关的主要专利共 8,122 件,其中境内专利 6,527 件,

包括发明专利 5,965 件;境外专利 1,595 件,此外公司还拥有集成电路布图设计

94 件。虽然公司长期以来注重自主知识产权的研发,并建立了科学完善的知识

产权保护体系,但不能排除公司的知识产权被盗用或不当使用,或发生知识产权

纠纷的风险。

    同时,获得第三方公司知识产权许可或引入相关技术授权是集成电路的行业

惯例。存在相关知识产权许可或技术授权到期后,因第三方公司原因或因国际贸

易摩擦等因素无法继续使用或续期的风险。

    未来,如果发生上述风险情形,将对公司的生产经营产生不利影响。同时,

公司需采取法律手段维护自身权益,可能耗费一定的人力、物力、财力。

(五)部分房产尚未取得产权证书的风险

    截至 2019 年 12 月 31 日,公司位于北京、上海、深圳、成都的 5 处房产尚

未取得产权证书。其中,中芯北京建筑面积为 3.52 万平方米的厂房、中芯上海

建筑面积为 1.73 万平方米的综合办公楼、成都开发建筑面积为 4.19 万平方米的

生活区建筑,因历史客观原因无法办理产权证书;其余 2 处位于中芯上海、中芯

深圳的厂房的相关不动产登记手续正在办理中。公司目前能够正常占有、使用上

述 5 处房产,但仍面临无法办理其中 3 处房产的产权证书的风险。



                                    59
中芯国际集成电路制造有限公司                                    招股意向书


(六)环境保护的风险

    公司在生产经营中会产生废水、废气和固体废物(含危险废物),同时公司

生产厂房较多,分布在多个城市,需遵守国家及各地的环境保护法律法规。公司

根据相关规定,积极履行环保职责,完善环保措施,制定了严格的环保制度。但

是未来如果公司由于环保设施运行故障等原因发生环境污染事件,可能将受到相

关部门的行政处罚,并对公司的生产经营产生不利影响。同时,如果国家或各地

出台更为严格的环保要求,公司需投入相应资金对现有环保设施进行全面升级改

造。

(七)安全生产的风险

    公司生产所需的原材料中包括部分易燃、有毒以及具有腐蚀性的材料,存在

一定危险性,对于操作人员的技术及操作工艺流程要求较高。公司高度重视安全

生产,制定了完备的安全生产管理规范,对操作人员进行了严格的培训,建立了

科学的安全生产管理体系。但是未来如果生产设备出现故障,或者危险材料和设

备使用不当,可能导致火灾、爆炸、危险物泄漏等意外事故,公司将面临员工伤

亡、财产损失、甚至产线停工等风险,并可能受到相关部门的行政处罚,将对公

司的生产经营产生不利影响。

(八)诉讼仲裁的风险

    截至本招股意向书签署日,公司较大的未决诉讼及仲裁包括:1)Innovative

Foundry Technologies LLC 对公司及其子公司的下游客户提起的专利诉讼,诉讼

请求主要为经济赔偿(但不涉及确切索赔金额);2)PDF SOLUTIONS, INC.就其

与中芯新技术签署的《Project Agreement》等一系列技术服务协议提起的仲裁,

要求中芯新技术支付《技术服务协议》项下的固定费用、奖励费用及逾期费用(结

算至 2020 年 4 月 30 日)共计约 2,072 万美元,以及按照《技术服务协议》约定

的最高标准收取后续的浮动费用等。上述诉讼及仲裁不会对公司业务、声誉、财

务状况和未来前景等造成较大不利影响。




                                    60
中芯国际集成电路制造有限公司                                           招股意向书


      公司所处的集成电路晶圆代工行业是带动集成电路产业联动的关键环节,且

公司经营规模较大,客户、供应商数量众多。在未来的业务发展过程中,公司不

能排除因知识产权、合同履行等事项,与客户、供应商等第三方发生纠纷及诉讼,

从而耗费公司的人力、物力以及分散管理精力,并承担败诉后果的风险,可能会

对公司的生产经营造成不利影响。


六、未能达到发行市值标准的风险

      根据《科创板上市规则》《国务院办公厅转发证监会关于开展创新企业境内

发行股票或存托凭证试点若干意见的通知》(国办发〔2018〕21 号)及《关于创

新试点红筹企业在境内上市相关安排的公告》(中国证券监督管理委员会公告

〔2020〕26 号),发行人作为已在境外上市的红筹企业选择的具体上市标准为:

“市值 200 亿元人民币以上,且拥有自主研发、国际领先技术,科技创新能力较

强,同行业竞争中处于相对优势地位。”

      按 2020 年 5 月 29 日的港元对人民币汇率中间价折算。公司申报前 120 个交

易日内平均市值为 679 亿元人民币。若在本次发行前公司股价发生大幅下跌,可

能面临未能达到发行市值标准的风险。


七、其他风险

(一)募集资金投资项目的风险

      公司本次公开发行股票的募集资金使用情况如下:

                                                                        单位:万元
 序号                项目名称                  募集资金投资额      拟投入资金比例
  1      12 英寸芯片 SN1 项目                         800,000.00           40.00%
  2      先进及成熟工艺研发项目储备资金               400,000.00           20.00%
  3      补充流动资金                                 800,000.00           40.00%
                   合计                             2,000,000.00          100.00%

      其中,12 英寸芯片 SN1 项目的募集资金投资额为 800,000.00 万元,用于满

足建设 1 条月产能 3.5 万片的 12 英寸生产线项目的部分资金需求,生产技术水

平提升至 14 纳米及以下;先进及成熟工艺研发项目储备资金项目的募集资金投

                                          61
中芯国际集成电路制造有限公司                                                       招股意向书


资额为 400,000.00 万元,用于工艺研发以提升公司的市场竞争力。未来,如果市

场环境、项目实施进度等方面出现重大变化,公司将面临募集资金投资项目无法

达到预期收益的风险。

     12 英寸芯片 SN1 项目的总投资额为 905,900 万美元,其中生产设备购置及

安装费达 733,016 万美元。SN1 项目达产后将会贡献额外的先进制程收入,但同

时带来较高的折旧成本压力。公司 14 纳米及下一代制程的产线投产、扩产,一

定时期内会面临较大的折旧压力,该部分业务毛利率可能会低于公司平均水平,

存在经济效益不达预期,甚至产生较大额度亏损的风险。

     此外,公司是一家注册在开曼群岛的公司,本次发行募集的资金需要遵守中

国境内监管机构对于外商投资和外汇管理的限制,可能对募集资金的使用产生一

定影响。

(二)可换股债券换股、股权激励计划带来的相关风险

     截至 2019 年 12 月 31 日,公司存续的可换股债券包括零息可换股债券和永

久次级可换股债券,合计发行规模 121,500.00 万美元,其中尚未换股金额合计

120,750.00 万美元,若未来全部换股,公司普通股将增加 884,526,210 股。

     截至 2019 年 12 月 31 日,公司存续的股权激励计划包括《2004 年购股权计

划》《2014 年购股权计划》和《2014 年以股支薪奖励计划》,部分购股权/受限制

股份单位尚未授予或行权,若未来全部授予并行权公司普通股将增加 367,323,892

股。前述股权激励计划将产生相关股份支付费用,相应减少公司未来期间的净利

润。

     上述可换股债券及股权激励未来全部换股及行权将增加普通股

1,251,850,102 股,约占公司届时8已发行普通股股数的 15.66%,将相应稀释其他

股东持有的发行人普通股股份。




8
  届时:指本次 A 股发行 168,562.00 万股完成(不包括超额配售);同时,现有存续可转换债券和股权激
励全部行权后。

                                              62
中芯国际集成电路制造有限公司                                 招股意向书


(三)相关法律文件以外文书就,境内投资者可能面临阅读和理解困难

    根据《科创板上市规则》的规定,上市公司的公告文稿应当采用中文文本。

公司注册地在开曼群岛,根据开曼群岛法律的要求以及监管实践,公司的注册登

记文件和公司章程等相关法律文件均以英文书就。同时,公司作为在香港联交所

挂牌交易的上市公司,需要根据香港联交所的监管要求履行信息披露义务,该等

信息披露文件通常以英文书就。因此,公司提请境内投资者关注可能面临的阅读

和理解困难。

(四)公司作出的承诺在实际履行时的相关风险

    对于本次发行 A 股股票并在科创板上市,公司就稳定股价、履行信息披露

义务等事宜作出了一系列重要承诺。其中,稳定股价承诺的具体措施包括回购公

司股票。鉴于公司为一家注册在开曼群岛并在香港联交所上市的红筹企业,在执

行股票回购等稳定股价措施时可能涉及资金跨境流动,须遵守中国外汇管理的相

关规定。因此,任何现有和未来的外汇管制措施有可能限制公司通过回购等方式

履行稳定股价的承诺。




                                  63
中芯国际集成电路制造有限公司                                                        招股意向书


                               第五节 发行人基本情况

一、公司基本概况
         英文名称           Semiconductor Manufacturing International Corporation
         中文名称           中芯国际集成电路制造有限公司
       法定股本总额         42,000,000.00 美元
                            10,500,000,000 股(包括:10,000,000,000 股普通股、500,000,000 股
       法定股份总数
                            优先股)
     已发行股份总数9        5,450,803,226 股(普通股)
                            周子学、ZHAO HAIJUN(赵海军)、梁孟松、高永岗、童国华、陈
                            山枝、路军、任凯、周杰、刘遵义、WILLIAM TUDOR BROWN、
         公司董事
                            JINGSHENG JASON CONG(丛京生)、范仁达、KWANG-LEEI
                            YOUNG(杨光磊)
         成立日期           2000 年 4 月 3 日
                            Cricket Square, Hutchins Drive, P.O. Box 2681, Grand Cayman,
         注册地址
                            KY1-1111 Cayman Islands
     主要生产经营地址       中国上海市浦东新区张江路 18 号
         邮政编码           201203
         联系电话           86-21-38610000
         传真号码           86-21-50802868
        互联网网址          www.smics.com
         公司邮箱           ir@smics.com
     信息披露负责部门       董事会办公室
     信息披露境内代表       郭光莉
         联系电话           86-21-20812800
    投资者关系负责部门      投资者关系部
          负责人            郭廷谦
         联系电话           86-21-20812804


二、公司的设立情况

       根据开曼群岛公司注册处签发的《设立证书》(Certificate of Incorporation),

Semiconductor Manufacturing International Corporation(中芯国际集成电路制造有

限公司)是根据《开曼群岛公司法》于 2000 年 4 月 3 日在开曼群岛注册成立的

有限公司。




9
    本次发行前后股份总数均以 2020 年 5 月 31 日为基准计算

                                                 64
中芯国际集成电路制造有限公司                                        招股意向书


    中芯国际于 2000 年 5 月通过董事决议,对外发行 10,000 股普通股,由

RU-GIN RICHARD CHANG(张汝京)持有。


三、公司报告期内的股本、股份和股东变化情况

    公司的股本变动原因主要包括主动增发普通股、股权激励计划发行普通股

(详情参见本节之“(三)股权激励情况”)、可换股债券换股等。

(一)法定股本变动

    2016 年 12 月 20 日,中芯国际召开董事会,审议并同意增加 5,000,000,000

股普通股,法定股本由 2,200.00 万美元增加至 4,200.00 万美元(包括每股面值为

0.004 美元的 10,000,000,000 股普通股及每股面值为 0.004 美元的 500,000,000 股

优先股)。2017 年 6 月 23 日,中芯国际召开股东周年大会,审议通过上述法定

股本增加事项。

(二)已发行普通股变动

    报告期内,公司已发行普通股变动的具体情况如下:

    1、2017 年

    2017 年度已发行普通股变动概述如下:

                                                                     单位:股
                       事项                            普通股数量
 2016 年 12 月 31 日已发行普通股数                             4,252,922,259
 年内可换股债券换股                                             389,042,383
 2017 年 12 月发行普通股                                        241,418,625
 股权激励计划项下的普通股发行                                       32,723,622
 2017 年 12 月 31 日已发行普通股数                             4,916,106,889

    2017 年度已发行普通股变动具体如下:

    (1)可换股债券换股




                                     65
中芯国际集成电路制造有限公司                                              招股意向书

                                                                     单位:万美元
  序号       发行日期           到期日              债券品种             本金金额
   1         2013-11-07        2018-11-07        零息可换股债券            20,000.00
   2         2014-06-24        2018-11-07        零息可换股债券             9,500.00
   3         2014-05-29        2018-11-07        零息可换股债券             8,680.00
   4         2014-12-04        2018-11-07        零息可换股债券             2,220.00
   5         2016-07-07        2022-07-07        零息可换股债券            45,000.00

    2017 年度,上述可换股债券发生换股,兑换为普通股 389,042,383 股。

    (2)增发普通股

    2017 年 11 月 14 日,中芯国际召开董事会,审议并同意授权公司与联席配

售代理 J.P. Morgan Securities PLC、Deutsche Bank AG, Hong Kong Branch 订立配

售协议,通过联席配售代理按每股配售股份 10.65 港元向不少于 6 名承配人发行

普通股 241,418,625 股。

    (3)股权激励计划项下的普通股发行

    根据《2004 年购股权计划》和《2004 年以股支薪奖励计划》,公司分别发行

普通股 18,138,095 股及 3,102,735 股;根据《2014 年购股权计划》和《2014 年以

股支薪奖励计划》,公司分别发行普通股 3,692,407 股及 7,790,385 股。2017 年度,

公司根据股权激励计划合计发行普通股 32,723,622 股。

    截至 2017 年 12 月 31 日,公司已发行普通股 4,916,106,889 股,公司的股权

结构如下:

                                                                           单位:股
  序号              股东名称                   持股数量             持股比例
   1     大唐香港                                   797,996,122              16.23%
   2     鑫芯香港                                   740,000,000              15.05%
   3     紫光集团附属公司                           363,345,100               7.39%
   4     其他股东                                 3,014,765,667              61.33%
                  合计                            4,916,106,889            100.00%
注:紫光集团附属公司包括紫光科技战略投资有限公司、紫光集团国际有限公司、紫光科技
投资(香港)有限公司,前述 3 家公司合计持有发行人 363,345,100 股普通股




                                         66
中芯国际集成电路制造有限公司                                         招股意向书


    2、2018 年

    2018 年度已发行普通股变动概述如下:

                                                                       单位:股
                      事项                              普通股数量
 2017 年 12 月 31 日已发行普通股数                              4,916,106,889
 2018 年 6 月发行普通股                                              61,526,473
 2018 年 8 月发行普通股                                              57,054,901
 普通股回购及注销                                                    -18,941,000
 股权激励计划项下的普通股发行                                        24,071,936
 2018 年 12 月 31 日已发行普通股数                              5,039,819,199

    2018 年度已发行普通股变动具体如下:

    (1)增发普通股

    2018 年 6 月 22 日,中芯国际召开股东特别大会,批准公司分别与大唐控股

(及其子公司大唐香港)、大基金一期(及其子公司鑫芯香港)签署的优先股份

认购协议,并同意向大唐香港及鑫芯香港发行普通股。

    2018 年 6 月 29 日,公司以每股 10.65 港元的价格向大唐香港发行普通股

61,526,473 股。2018 年 8 月 29 日,公司以每股 10.65 港元的价格向鑫芯香港发

行普通股 57,054,901 股。

    (2)普通股回购及注销

    经 2018 年 6 月 22 日召开的股东周年大会批准,公司分别于 2018 年 9 月 27

日及 2018 年 10 月 4 日于香港联交所回购普通股 7,291,000 股及 11,650,000 股。

2018 年 10 月 25 日,公司注销上述已回购的普通股。

    (3)股权激励计划项下的普通股发行

    根据《2004 年购股权计划》《2014 年购股权计划》和《2014 年以股支薪奖

励计划》,公司分别发行普通股 9,876,536 股、1,861,780 股及 12,333,620 股。2018

年度,公司根据股权激励计划合计发行普通股 24,071,936 股。




                                     67
中芯国际集成电路制造有限公司                                                    招股意向书


          截至 2018 年 12 月 31 日,公司已发行普通股 5,039,819,199 股,公司的股权

结构如下:

                                                                                 单位:股
 序号                    股东名称              持股数量                 持股比例
      1       大唐香港                             859,522,595                      17.05%
      2       鑫芯香港                             797,054,901                      15.82%
      3       紫光集团附属公司                     374,665,110                       7.43%
      4       其他股东                           3,008,576,593                      59.70%
                       合计                      5,039,819,199                    100.00%
注:紫光集团附属公司包括紫光科技战略投资有限公司、紫光集团国际有限公司、紫光科技
投资(香港)有限公司,前述 3 家公司合计持有发行人 374,665,110 股普通股

          3、2019 年

          2019 年度已发行普通股变动概述如下:

                                                                                 单位:股
                                事项                               普通股数量
2018 年 12 月 31 日已发行普通股数                                          5,039,819,199
股权激励计划项下的普通股发行                                                     17,049,713
2019 年 12 月 31 日已发行普通股数                                          5,056,868,912

          2019 年度已发行普通股变动具体如下:

          根据《2004 年购股权计划》《2014 年购股权计划》和《2014 年以股支薪奖

励计划》,公司分别发行普通股 7,196,560 股、1,544,113 股及 8,309,040 股。2019

年度,公司根据股权激励计划合计发行普通股 17,049,713 股。

          截至 2019 年 12 月 31 日,公司已发行普通股 5,056,868,912 股,公司的股权

结构如下:

                                                                                  单位:股
序号                          股东名称             持股数量               持股比例
  1         大唐香港                                      859,522,595               17.00%
  2         鑫芯香港                                      797,054,901               15.76%
  3         其他股东                                 3,400,291,416                  67.24%
                         合计                        5,056,868,912                100.00%
注:紫光集团附属公司共同持股比例低于 5%系二级市场买卖所致



                                          68
中芯国际集成电路制造有限公司                                                    招股意向书


(三)股权激励情况

       截至 2019 年 12 月 31 日,公司存续的股权激励包括:《2004 年购股权计划》

《2014 年购股权计划》《2014 年以股支薪奖励计划》,其中《2004 年购股权计划》

已过授予期,不可再授予购股权。

       截至 2019 年 12 月 31 日,公司根据上述股权激励计划尚可授予的购股权/

受限制股份单位对应的普通股为 318,161,349 股,占 2019 年 12 月 31 日已发行普

通 股 的 6.29% ; 已 授 予 尚 未 行 权 的 购 股 权 / 受 限 制 股 份 单 位 对 应 普 通 股 为

49,162,543 股,占 2019 年 12 月 31 日已发行普通股的 0.97%,具体情况如下:

                                                                                    单位:股
                                    已授予未行使购股权/受限   尚可授予购股权/受限制
序号           股权激励计划           制股份单位对应普通股      股份单位对应普通股      合计
                                       股份数         占比      股份数       占比
  1          2004 年购股权计划           14,553,897   0.29%              -          -   0.29%
  2          2014 年购股权计划           24,801,327   0.49%    285,875,152    5.65%     6.14%
  3       2014 年以股支薪奖励计划         9,807,319   0.19%     32,286,197    0.64%     0.83%
               合计                      49,162,543   0.97%    318,161,349   6.29%      7.26%

 注:股份总数均以 2019 年 12 月 31 日为基准计算

       上述股权激励计划具体情况如下:

       1、2004 年购股权计划

       2004 年 2 月 16 日,公司股东特别大会批准《2004 年购股权计划》,允许向

符合资格的公司员工、高级行政人员等授予公司购股权。根据《2004 年购股权

计划》,购股权于被授予日起第一周年按 25%比例归属,剩余的购股权于其后 36

个月每月等额归属。持有者在购股权授予日后 10 年内的任意时间,可以行使权

利认购公司股票,行权价为授予当日的收盘价或前五日的平均收盘价中较高者,

本计划对应公司可发行的普通股上限为 243,466,873 股(根据 2016 年股份合并的

影响作出调整)。




                                             69
中芯国际集成电路制造有限公司                                                       招股意向书


     该计划于 2004 年 3 月 18 日生效,有效期至 2013 年 11 月 15 日10,有效期届

满后公司不得根据本计划授予新的购股权。截至 2019 年 12 月 31 日,《2004 年

购股权计划》已授予尚未行使的购股权对应普通股为 14,553,897 股,占 2019 年 12

月 31 日已发行普通股的 0.29%。

     2、2014 年购股权计划

     2013 年 6 月 13 日,公司股东周年大会批准《2014 年购股权计划》,允许向

符合资格的公司员工、高级行政人员等授予公司购股权。根据《2014 年购股权

计划》,2018 年 1 月 1 日前授予的购股权于被授予日起第一周年按 25%比例归属,

剩余的购股权于其后 36 个月每月等额归属;2018 年 1 月 1 日后授予的购股权于

被授予日起于第一、第二、第三、第四周年分别按 25%比例归属。持有者在购股

权授予日后 10 年内的任意时间,可以行使权利认购公司股票,行权价为授予当

日的收盘价或前五日的平均收盘价中较高者,本计划对应公司可发行的普通股上

限为 320,737,712 股(根据 2016 年股份合并的影响作出调整)。

     该计划于 2013 年 11 月 15 日生效,有效期至 2023 年 11 月 14 日,有效期届

满后公司不得根据本计划授予新的购股权。截至 2019 年 12 月 31 日,《2014 年

购股权计划》已授予尚未行权的购股权对应普通股为 24,801,327 股,占 2019 年

12 月 31 日已发行普通股的 0.49%,尚可授予的购股权对应普通股为 285,875,152

股,占 2019 年 12 月 31 日已发行普通股的 5.65%。

     3、2014 年以股支薪奖励计划

     2013 年 6 月 13 日,公司股东周年大会批准《2014 年以股支薪奖励计划》,

允许向符合资格的公司员工、高级行政人员等授予公司受限制股份单位,持有者

在每个受限制股份单位到期归属后有权以 0.031 港元(根据 2016 年股份合并的

影响作出调整)换取一股普通股。根据《2014 年以股支薪奖励计划》,受限制股

份单位于被授予日起于第一、第二、第三、第四周年分别按 25%比例归属,一旦




10
  《2014 年购股权计划》及《2014 年以股支薪奖励计划》于 2013 年 11 月 15 日获得有关部门的批准后生
效,《2004 年购股权计划》亦于当日失效

                                              70
中芯国际集成电路制造有限公司                                                    招股意向书


到期归属即时行权,本计划对应公司可发行的普通股上限为 80,184,428 股(根据

2016 年股份合并的影响作出调整)。

         该计划于 2013 年 11 月 15 日生效,有效期至 2023 年 11 月 14 日,有效期届

满后公司不得根据本计划授予新的受限制股份单位。截至 2019 年 12 月 31 日,

《 2014 年 以 股 支 薪 奖 励 计 划 》 尚 未 行 使 的 受 限 制 股 份 单 位 对 应 普 通 股 为

9,807,319 股,占 2019 年 12 月 31 日已发行普通股的 0.19%,尚可授予的受限制

股份单位对应普通股为 32,286,197 股,占 2019 年 12 月 31 日已发行普通股的

0.64%。

(四)可换股债券情况

         中芯国际根据自身需求,在新加坡交易所挂牌发行债券融资。截至 2019 年

12 月 31 日,公司存续的可换股债券情况如下:

                                                                              单位:万美元
序号               种类                 发行规模             发行日期           到期日期
     1        零息可换股债券                  45,000.00      2016-07-07        2022-07-07
     2        零息可换股债券                  20,000.00      2019-12-09        2022-07-07
     3      永久次级可换股债券                   6,500.00    2017-12-14                     -
     4      永久次级可换股债券                20,000.00      2018-06-29                     -
     5      永久次级可换股债券                30,000.00      2018-08-29                     -
                合计                         121,500.00                   -                 -
注:该项 6,500 万美元永久次级可换股债券已于 2020 年 5 月 12 日全部完成换股

         债券持有人根据相关债券发行协议在规定时间内可进行换股,上述可换股债

券合计可兑换普通股 890,824,343 股11。截至 2019 年 12 月 31 日,部分可换股债

券已换股,对应本金金额为 750.00 万美元,对应普通股 6,298,133 股;剩余可换

股债券未换股部分对应本金金额为 120,750.00 万美元,对应普通股 884,526,210

股,占截至 2019 年 12 月 31 日已发行普通股的 17.49%。

         2020 年 1 至 5 月,公司可换股债券换股 47,400.00 万美元,对应普通股

383,146,932 股,具体如下:


11
  实际可换股债券的合计可兑换普通股股数与债券发行时所披露可换股股数略有差异,因换股时股份数的
计算向下取整所致。

                                            71
中芯国际集成电路制造有限公司                                            招股意向书

序号                  项目                 换股本金金额(万美元)    换股股数(股)
  1      2019年12月31日前换股                              750.00          6,298,133
  2      2020年1至5月换股                                47,400.00       383,146,932
  3      截至2020年5月31日尚未换股                      73,350.00        501,379,278
                   合计                                121,500.00       890,824,343
注:可换股债券换股时产生的换股股数为单笔换股金额除以换股价格,计算结果向下取整

       1、零息可换股债券

       截至招股意向书签署日,中芯国际已发行零息可换股债券 65,000.00 万美元,

具体情况如下:

       (1)2016 年发行

       2016 年 5 月 12 日,中芯国际召开董事会,审议并同意发行合计不超过

50,000.00 万美元可换股债券。2016 年 6 月 7 日,中芯国际和 J.P. Morgan Securities

PLC 签署《债券认购协议》,拟发行每单位面值 25.00 万美元,本金额 45,000.00

万美元零息可换股债券,本次发行价格为本金的 100%。本次发行债券于 2016

年 7 月 8 日在新加坡交易所上市交易并于 2022 年 7 月 7 日到期。到期后,公司

需赎回未换股之债券并注销。

       本次发行债券的持有人在规定时间内可按每股 0.9250 港元的价格转换为面

值 0.0004 美元之普通股,以预定固定汇率 7.7677 港元兑 1.0 美元换算需发行

3,778,881,081 股兑换股份。随着公司股份合股并于 2016 年 12 月 7 日生效,兑换

价调整至每股 9.250 港元,假设全数换股需发行 377,888,108 股普通股。

       (2)2019 年追加发行

       2019 年 11 月 12 日,中芯国际召开董事会,审议并同意发行合计不超过

30,000.00 万美元可换股债券。2019 年 11 月 18 日,中芯国际与 UBS AG, Hong Kong

Branch 签署《债券认购协议》,公司拟在 2016 年发行的基础上,发行 20,000.00

万美元零息可换股债券,本次发行价格为本金的 116%,本次发行债券于 2019

年 12 月 10 日在新加坡交易所上市交易并于 2022 年 7 月 7 日到期。到期后,公

司需赎回未换股之债券并注销。



                                      72
中芯国际集成电路制造有限公司                                          招股意向书


    本次发行债券的持有人在规定时间内可按每股 9.25 港元的价格转换为面值

0.004 美元之普通股,以预定固定汇率 7.7677 港元兑 1.0 美元换算,假设全数换

股需发行 167,950,270 股普通股。

    (3)换股及赎回情况

    截至 2019 年 12 月 31 日,公司 2016 年零息可换股债券已换股 750.00 万美

元,对应增加普通股 6,298,133 股,公司 2019 年度追加发行零息可换股债券尚未

进行换股;此外,零息可换股债券未发生赎回情形。

    2020 年 1 至 5 月,公司 2016 年零息可换股债券换股 40,900.00 万美元,对

应增加普通股 343,458,279 股。

    2、永久次级可换股债券

    截至招股意向书签署日,中芯国际已发行的永久次级可换股债券合计

56,500.00 万美元,具体情况如下:

    (1)2017 年发行

    2017 年 11 月 14 日,公司根据董事会的授权成立交易委员会并决定发行总

本金不超过 75,000.00 万美元的永久可换股债券。

    2017 年 11 月 29 日,中芯国际与 J.P. Morgan Securities PLC、Deutsche Bank AG,

Hong Kong Branch、Barclays Bank PLC 签署《债券认购协议》,拟发行每单位面

值为 25.00 万美元、本金为 6,500.00 万美元的永久次级可换股债券。该债券年利

率 2%,自 2018 年 6 月 14 日起每 6 个月派息一次。本次发行债券于 2017 年 12

月 15 日在新加坡交易所上市交易。

    本次发行债券的持有人在规定时间内可按照每股 12.78 港元的价格转换为面

值 0.004 美元之普通股,以预定固定汇率 7.8034 港元兑 1.0 美元换算,假设全数

换股需发行 39,688,654 股普通股。

    (2)2018 年发行



                                      73
中芯国际集成电路制造有限公司                                                  招股意向书


     2018 年 4 月 23 日,中芯国际分别与大唐控股、大唐香港及大基金一期、鑫

芯香港签署《永久次级可换股债券认购协议》,根据协议大唐控股通过大唐香港

认购 20,000.00 万美元的永久次级可换股债券;大基金一期通过鑫芯香港认购本

金总额 30,000.00 万美元的永久次级可换股债券。该债券年利率 2%,自 2018 年

6 月 14 日起每 6 个月派息一次。

     2018 年 6 月 22 日,中芯国际召开股东特别大会审议通过以上交易。以上债

券分别于 2018 年 6 月 29 日和 2018 年 8 月 29 日完成发行。

     本次发行债券的持有人在规定时间内可按照每股 12.78 港元的价格转换为面

值 0.004 美元之普通股,以预定固定汇率 7.8034 港元兑 1.0 美元换算,假设全数

换股需发行 305,297,338 股普通股。

     (3)换股及赎回情况

     截至 2019 年 12 月 31 日,公司永久次级可换股债券未进行换股或赎回。

     2020 年 1 至 5 月,公司 2017 年永久次级可换股债券换股 6,500.00 万美元,

对应增加普通股 39,688,653 股。

(五)股权激励计划行权、可换股债券换股对公司股权结构的影响

     1、对公司普通股数的影响

     截至 2019 年 12 月 31 日,公司存续的股权激励包括《2004 年购股权计划》

《2014 年购股权计划》和《2014 年以股支薪奖励计划》,部分购股权/受限制股

份单位尚未授予或行权,其中已授予尚未行权的购股权/受限制股份单位对应普

通 股 为 49,162,543 股 ; 尚 可 授 予 的 购 股 权 / 受 限 制 股 份 单 位 对 应 普 通 股 为

318,161,349 股,上述购股权/受限制股份单位未来全部授予并行权公司普通股将

增加 367,323,892 股,占 2019 年 12 月 31 日公司已发行普通股的 7.26%。前述股

权激励计划将产生相关股份支付费用,相应减少公司未来期间的净利润。

     截至 2019 年 12 月 31 日,公司存续的可换股债券合计发行规模 121,500.00

万美元,包括零息可换股债券和永久次级可换股债券;可换股债券尚未换股本金


                                           74
中芯国际集成电路制造有限公司                                                        招股意向书


金额为 120,750.00 万美元,若未来全部换股公司普通股将增加 884,526,210 股,

占 2019 年 12 月 31 日公司已发行普通股的 17.49%。

     以上可换股债券及股权激励未来全部换股或行权,将增加公司普通股

1,251,850,102 股,约占公司届时12已发行普通股股数的 15.66%,将相应稀释其他

股东持有公司的股权比例。

     2、对主要股东持股的影响

     截至 2019 年 12 月 31 日,大唐香港和鑫芯香港分别持有公司普通股

859,522,595 股和 797,054,901 股;同时,大唐香港和鑫芯香港分别持有公司

20,000.00 万美元和 30,000.00 万美元永久次级可换股债券,上述可换股债券如换

股将分别获得普通股 122,118,935 股和 183,178,403 股。

     此外,公司现行存续的可换股债券及股权激励计划倘若未来全部换股及行权,

将相应稀释主要股东持有的发行人普通股股份,具体如下:

     (1)其他可换股债券换股对主要股东的影响

     倘若其他可换股债券持有者均选择换股,将增加公司普通股 579,228,872 股,

则大唐香港和鑫芯香港持股比例将变为 15.25%和 14.14%;若此时大唐香港和鑫

芯香港选择将持有的可换股债券全部换股,则大唐香港和鑫芯香港持股比例将变

为 16.52%和 16.50%,具体情况如下:

                                                换股后持股数量             换股后持股数量
                 截至 2019 年 12 月 31 日
序                                          (大唐香港、鑫芯香港持有   (大唐香港、鑫芯香港持有
     股东名称           持股数量
号                                            可换股债券未换股)           可换股债券换股)
                股份数(股)       占比     股份数(股)     占比      股份数(股)       占比
1    大唐香港     859,522,595      17.00%     859,522,595    15.25%       981,641,530     16.52%
2    鑫芯香港     797,054,901      15.76%     797,054,901    14.14%       980,233,304     16.50%
3    其他股东    3,400,291,416     67.24%   3,979,520,288    70.61%      3,979,520,288    66.98%
     合计        5,056,868,912   100.00%    5,636,097,784   100.00%      5,941,395,122   100.00%


     (2)已授予尚未行使的购股权/受限制股份单位行权后对主要股东的影响



12
  届时:指本次 A 股发行 168,562.00 万股完成(不包括超额配售);同时,现有存续可转换债券和股权激
励全部行权后。

                                               75
中芯国际集成电路制造有限公司                                                          招股意向书


      倘若其他可换股债券持有者全部换股,且截至 2019 年 12 月 31 日已授予尚

未行权的购股权/受限制股份单位全部行权,则大唐香港和鑫芯香港持股比例将

变为 15.12%和 14.02%;若此时大唐香港和鑫芯香港选择将持有的可换股债券全

部换股,则大唐香港和鑫芯香港持股比例将变为 16.39%和 16.36%,具体情况如

下:

                                               换股行权后持股数量        换股行权后持股数量
                  截至 2019 年 12 月 31 日
 序                                          (大唐香港、鑫芯香港持    (大唐香港、鑫芯香港持有
       股东名称          持股数量
 号                                          有可换股债券未换股)          可换股债券换股)
                  股份数(股)     占比      股份数(股)     占比     股份数(股)      占比
 1     大唐香港    859,522,595     17.00%     859,522,595     15.12%      981,641,530    16.39%
 2     鑫芯香港    797,054,901     15.76%     797,054,901     14.02%      980,233,304    16.36%
 3     其他股东   3,400,291,416    67.24%    4,028,682,831    70.86%    4,028,682,831    67.25%
       合计       5,056,868,912   100.00%    5,685,260,327   100.00%    5,990,557,665   100.00%


      (3)公司现行的股权激励计划全部授予行权后对主要股东的影响

      倘若其他可换股债券持有者全部换股,且股权激励计划尚可授予和已授予尚

未行权的购股权/受限制股份单位全部授予并行权,则大唐香港和鑫芯香港持股

比例将变动为 14.32%和 14.02%,若此时大唐香港和鑫芯香港选择将持有的可换

股债券全部换股,则大唐香港和鑫芯香港持股比例将变动为 15.56%和 15.54%,

具体情况如下:

                                               换股行权后持股数量        换股行权后持股数量
                  截至 2019 年 12 月 31 日
 序                                          (大唐香港、鑫芯香港持    (大唐香港、鑫芯香港持有
       股东名称          持股数量
 号                                          有可换股债券未换股)          可换股债券换股)
                  股份数(股)     占比      股份数(股)     占比     股份数(股)      占比
 1     大唐香港    859,522,595     17.00%     859,522,595     14.32%      981,641,530    15.56%
 2     鑫芯香港    797,054,901     15.76%     797,054,901     14.02%      980,233,304    15.54%
 3     其他股东   3,400,291,416    67.24%    4,346,844,180    76.46%    4,346,844,180    68.90%
       合计       5,056,868,912   100.00%    6,003,421,676   100.00%    6,308,719,014   100.00%



四、公司报告期内的重大资产重组情况

      报告期内,公司未进行过重大资产重组。




                                               76
中芯国际集成电路制造有限公司                                       招股意向书


五、公司在其他证券市场上的上市/挂牌情况

(一)公司股票于香港联交所上市、美国预托证券股份于纽交所挂牌概况

    2004 年 1 月 28 日,公司董事会审议并通过了公司公开发行股份并上市的议

案。2004 年 2 月 16 日,公司股东特别大会审议并通过了公司公开发行股份并上

市的议案。

    2004 年 3 月 5 日,公司与 Credit Suisse First Boston(HongKong)Limited 和

Deutsche Bank AG, Hong Kong Branch 签订承销协议,拟全球发售 5,151,515,000

股普通股。2004 年 3 月 18 日,公司的普通股在香港联交所上市,股票代码:981;

证券简称:中芯国际。公司的美国预托证券股份于纽交所上市,股票代码:SMI。

    本次拟全球发售 5,151,515,000 股普通股,包括公司股东公开发售和新增发

售,其中股东公开发售 2,121,212,000 股普通股,新增发售 3,030,303,000 股普通

股。

    截至 2019 年 12 月 31 日,公司已发行普通股为 5,056,868,912 股,并全部在

香港联交所流通,占公司已发行普通股比例为 100%。

    公司自上市以来遵守香港联交所、纽交所等上市公司监管机构关于信息披露

等事项的监管规定,未受到香港证监会、香港联交所、美国证券及交易委员会、

纽交所等证券监督管理机构的处罚。

(二)从纽交所退市情况

    2019 年 2 月 14 日,公司董事会通过决议,授权公司在合适的时机取消美国

预托证券股份在美国证交会的注册,并根据《1934 年证券交易法》(经修订)终

止公司向美国证交会的报告义务。

    2019 年 5 月 24 日,公司发出《关于拟将美国预托证券股份从纽约证券交易

所退市和撤销根据美国证券交易法之注册及终止申报责任之公告》,公司通知纽

交所,根据《1934 年证券交易法》(经修订)申请自愿将其美国预托证券股份从

纽交所退市,并撤销该等美国预托证券股份和相关普通股的注册。

                                     77
中芯国际集成电路制造有限公司                                             招股意向书


         2019 年 6 月 3 日,公司向美国证交会申请美国预托证券股份从纽交所退市。

2019 年 6 月 14 日,公司的预托证券股份从纽交所退市并进入美国场外交易市场

交易,公司向美国证交会申请撤销注册及终止其在美国证券交易法下的申报责任。

截至报告期末,公司的美国预托证券股份注册已成功撤销并终止在美国证券交易

法下的申报责任。

(三)申报前 120 个交易日以来证券价格和市值的信息变动

         公司申报前 120 个交易日以来折算为人民币的证券价格和市值的信息变动

情况如下:

 1,000                                                                          20
  900                                                                           18
  800                                                                           16
  700                                                                           14
  600                                                                           12
  500                                                                           10
  400                                                                           8
  300                                                                           6
  200                                                                           4
  100                                                                           2
    -                                                                           -




                             总市值(亿元人民币)   收盘价(元人民币)



注:上述市值人民币金额均按 2020 年 5 月 29 日的港元对人民币汇率中间价折算。公司申报
前 120 个交易日内,最低市值 470 亿元人民币,最高市值 946 亿元人民币,平均市值 679
亿元人民币


六、公司的股权结构

         截至 2019 年 12 月 31 日,公司的股权结构图主要情况如下:




                                               78
               中芯国际集成电路制造有限公司                                                                                                                                                                                            招股意向书


                                                                                                             大
                                                                                                             唐                                       鑫
                                                                                                             控                                       芯
                                                                                                             股                                       (
                                                                                                             (                                       香                                           其
                                                                                                             香                                       港
                                                                                                             港                                       )                                           他
                                                                                                                                                                                                   投
                                                                                                             )                                       投                                           资
                                                                                                             投                                       资
                                                                                                             资                                       有                                           者
                                                                                                             有                                       限
                                                                                                             限                                       公
                                                                                                             公                                       司
                                                                                                             司

                                                                                                            17.00%                                   15.76%                                       67.24%



                                                                                                                  中芯国际集成电路制造有限公司


100%           55.965%                 100%             100%            100%        100%        100%          100%        100%         100%           100%       100%                         100%                     100%                100%
                                       SilTech Cayman




                                                                                                                                                                 SMIC Americas
                                                                                                                                       SMIC Europe
                                                                                                                          SMIC Japan
                                                                                                              SH Cayman
                                                                                    SZ Cayman
Better Way




                                                                        BJ Cayman



                                                                                                TJ Cayman




                                                                                                                                                      SMIC BVI
                                                        Solar Cell




                                                                                                                                                                                                中                     成                  中
                      SJ CA




                                                                                                                                                                                                芯                     都                  芯
                                                                                                                                                                                                集                     开                  控
                                                                                                                                                                                                电                     发                  股



100% 100%                     100%     100%             100%            100%        100%        100%
                                                        Solar Cell HK
Magnificent




                                       SilTech HK
                              SJ USA




                                                                                    SZ HK
                                                                        BJ HK



                                                                                                TJ HK
              SJ HK




                                                                                                                                                                                  100%        100% 24.75% 100%              13%    25.5%      66%   45.67%   81.86%




                                                                                                                                                                                      中                   中     中                中               中       中
                                                                                                                                                                                                中         芯                                北
                                                                                                                                                                                      芯        芯                芯       12.5%    芯 0.67% 京      芯       芯
                                                                                                                                                                                      上                   新     北                北               南       深
              100%                     100%                                                                                                                                                     天         技                                创
                                                                                                                                                                                      海        津                京                方       新      方       圳
                                                                                                                                                                                                           术

              中                       芯
              芯                       电                                                                                                                                                                                     75.25%
              长                       上                                                                                                                                    100%          100%
              电                       海
                                                                                                                                                                                                       中                                           4.43%    18.14%
                                                                                                                                                                                 宁        中          芯             上
                                                                                                                                                                                 波        芯     100% 晶       99%   海
                                                                                                                                                                                 设        晶          圆             合
                                                                                                                                                                                 计        圆          宁             芯
                                                                                                                                                                                                       波




               七、公司控股子公司及参股公司情况

                                  截至 2019 年 12 月 31 日,公司控股子公司 37 家,持有股份或权益的参股公

               司共 26 家。



                                                                                                                                                     79
中芯国际集成电路制造有限公司                                                                                                        招股意向书




(一)公司控股子公司基本情况

       截至 2019 年 12 月 31 日,公司控股子公司基本情况如下:

       1、境内控股子公司和合伙企业

                       注册地/主要                                                                                            与发行人主营业务
序号      公司名称                   成立时间       注册资本         实收资本             股东构成            主营业务
                       生产经营地                                                                                                 的关系
                                                  219,000.00 万    177,000.00 万                           集成电路晶圆代
 1        中芯上海       上海市      2000-12-21                                     中芯集电持股 100.00%                          主营业务
                                                       美元             美元                                 工、销售
                                                  100,000.00 万    100,000.00 万                           集成电路晶圆代
 2        中芯北京       北京市      2002-07-25                                     中芯集电持股 100.00%                          主营业务
                                                       美元             美元                                 工、销售
                                                  129,000.00 万    77,000.00 万美                          集成电路晶圆代
 3        中芯天津       天津市      2003-11-03                                     中芯集电持股 100.00%                          主营业务
                                                       美元               元                                 工、销售
                                                  70,000.00 万美   70,000.00 万美   中芯控股持股 81.86%    集成电路晶圆代
 4        中芯深圳       深圳市      2008-03-20                                                                                   主营业务
                                                        元                元        中芯集电持股 18.14%      工、销售
                                                                                    中芯控股持股 25.50%
                                                  480,000.00 万    480,000.00 万                           集成电路晶圆代
 5        中芯北方       北京市      2013-07-12                                     中芯集电持股 13.00%                           主营业务
                                                      美元             美元                                  工、销售
                                                                                    中芯北京持股 12.50%
                                                  350,000.00 万    350,000.00 万    中芯控股持股 45.67%    集成电路晶圆代
 6        中芯南方       上海市      2016-12-01                                                                                   主营业务
                                                       美元             美元        中芯上海持股 4.43%       工、销售
                                                  39,950.00 万美   33,000.00 万美                                             与发行人主营业务
 7        中芯长电       江阴市      2014-11-25                                     SJ HK 持股 100.00%     凸块加工与测试
                                                        元                元                                                        相关
                                                  40,000.00 万美   40,000.00 万美   中芯集电持股 24.75%    研发、技术咨询和   与发行人主营业务
 8        中芯新技术     上海市      2014-10-28
                                                        元                元        中芯控股持股 75.25%        技术服务             相关
                                                  5,000.00 万美    5,000.00 万美                                              发行人境内运营实
 9        中芯控股       上海市      2015-07-28                                     公司持股 100.00%          持股平台
                                                        元                元                                                  体的主要持股公司




                                                                        80
中芯国际集成电路制造有限公司                                                                                                                  招股意向书



                          注册地/主要                                                                                                   与发行人主营业务
序号      公司名称                        成立时间        注册资本         实收资本               股东构成              主营业务
                          生产经营地                                                                                                        的关系
                                                       46,580.00 万美    46,580.00 万美                                                 发行人境内运营实
 10       中芯集电          上海市        2003-09-30                                       公司持股 100.00%             持股平台
                                                             元                元                                                       体的主要持股公司
                                                       145,800.00 万     139,200.00 万
 11       中芯晶圆          上海市        2014-02-27                                       中芯上海持股 100.00%         投资活动            投资管理
                                                             元                元
                                                       120,000.00 万
 12     中芯晶圆宁波        宁波市        2018-02-28                     31,900.00 万元    中芯晶圆持股 100.00%         投资活动            投资管理
                                                             元
                                                                                                                     集成电路技术研     与发行人主营业务
 13       宁波设计          宁波市        2017-10-13     2,000.00 万元          -          中芯上海持股 100.00%
                                                                                                                     发、设计、测试           相关
                                                         1,200.00 万美   1,200.00 万美                                                  持有长电科技的股
 14       芯电上海          上海市        2009-03-03                                       SilTech HK 持股 100.00%      持股平台
                                                              元              元                                                              权
                                                                                           中芯控股持股 66.00%       集成电路技术研     与发行人主营业务
 15       北京创新          北京市        2017-09-25   15,000.00 万元    15,000.00 万元
                                                                                           中芯北方持股 0.67%        发、设计、测试           相关
                                                                                           中芯晶圆宁波持合伙财
 16       上海合芯          上海市        2014-08-01     5,000.00 万元   1,777.59 万元                                  投资活动            投资管理
                                                                                           产份额 99.00%
                                                                                                                     配套项目设施的
 17       成都开发          成都市        2005-12-29   500.00 万美元     500.00 万美元     公司持股 100.00%                              持有发行人物业
                                                                                                                     建设、经营、管理

注:中芯上海所持宁波设计全部股权已于 2020 年 4 月 1 日转让予芯创智(北京)微电子有限公司,并变更公司名称为“芯创智创新设计服务中心(宁波)
有限公司”

       2、境外控股子公司

                            注册地/主要                                                                                                  与发行人主营业
序号       公司名称                           成立时间          法定股本            已发行股本        股东构成           主营业务
                            生产经营地                                                                                                     务的关系
                                                                                                                                         持有发行人下属
 1       SilTech Cayman      开曼群岛        2008-02-13       10,000.00 美元    10,000.00 美元    公司持股 100.00%       持股平台
                                                                                                                                               企业
 2        SH Cayman          开曼群岛        2007-11-08       50,000.00 美元        0.0004 美元   公司持股 100.00%    无实际经营业务            -



                                                                               81
中芯国际集成电路制造有限公司                                                                                                     招股意向书



                       注册地/主要                                                                                           与发行人主营业
序号     公司名称                    成立时间        法定股本            已发行股本           股东构成        主营业务
                       生产经营地                                                                                              务的关系
                                                                                                                             持有发行人下属
 3       Better Way      萨摩亚      2000-04-05   100.00 万美元           1.00 美元      公司持股 100.00%      持股平台
                                                                                                                                   企业
                                                                                                                             持有发行人下属
 4       TJ Cayman      开曼群岛     2007-11-08   50,000.00 美元         0.0004 美元     公司持股 100.00%      持股平台
                                                                                                                                   企业
                                                                                                                             公司境外销售平
 5       SMIC BVI          BVI       2007-04-26     10.00 美元            10.00 美元     公司持股 100.00%   区域营销及联络
                                                                                                                                   台
                                                                                                                             公司境外销售平
 6     SMIC Americas      美国       2001-06-22   500,000.00 美元              -         公司持股 100.00%   区域营销及联络
                                                                                                                                   台
                                                                                                                             公司境外销售平
 7      SMIC Europe      意大利      2003-07-03   100,000.00 欧元              -         公司持股 100.00%   区域营销及联络
                                                                                                                                   台
                                                                                                                             公司境外销售平
 8      SMIC Japan        日本       2002-10-08   1,000.00 万日元              -         公司持股 100.00%   区域营销及联络
                                                                                                                                   台
                                                                                                                             持有发行人下属
 9       BJ Cayman      开曼群岛     2007-11-08   50,000.00 美元         0.0004 美元     公司持股 100.00%      持股平台
                                                                                                                                   企业
                                                                                                                             持有发行人下属
 10      Solar Cell     开曼群岛     2005-06-30   11,000.00 美元               -         公司持股 100.00%      持股平台
                                                                                                                                   企业
                                                                                                                             持有发行人下属
 11     SZ Cayman       开曼群岛     2008-01-21   50,000.00 美元         0.0004 美元     公司持股 100.00%      持股平台
                                                                                                                                   企业
                                                                                         SilTech Cayman                      持有发行人下属
 12     SilTech HK      中国香港     2008-03-20    1,000.00 港元         1,000.00 港元                         持股平台
                                                                                         持股 100.00%                              企业
                                                                                         Better Way 持 股
 13     Magnificent        BVI       2006-01-05   50,000.00 美元          1.00 美元                            持股平台      持有发行人物业
                                                                                         100.00%
                                                                                                                             持有发行人下属
 14        SJ CA        开曼群岛     2014-08-19   15,000.00 美元         5,668.05 美元   公司持股 55.965%      持股平台
                                                                                                                                   企业
                                                                                         SJ CA      持 股                    持有发行人下属
 15        SJ HK        中国香港     2014-09-02    1,000.00 港元         1,000.00 港元                         持股平台
                                                                                         100.00%                                   企业
 16       SJ USA          美国       2016-04-04   200.00 万美元                -         SJ   CA    持 股   无实际经营业务         -




                                                                    82
中芯国际集成电路制造有限公司                                                                                                       招股意向书



                        注册地/主要                                                                                            与发行人主营业
序号     公司名称                       成立时间        法定股本             已发行股本      股东构成           主营业务
                        生产经营地                                                                                               务的关系
                                                                                          100.00%
                                                                                          TJ Cayman    持股
 17        TJ HK         中国香港       2007-11-02     1,000.00 港元          1.00 港元                       无实际经营业务         -
                                                                                          100.00%
                                                                                          BJ Cayman    持股
 18        BJ HK         中国香港       2007-11-02     1,000.00 港元          1.00 港元                       无实际经营业务         -
                                                                                          100.00%
                                                                                          Solar Cell   持股
 19     Solar Cell HK    中国香港       2007-10-23    10,000.00 港元          1.00 港元                       无实际经营业务         -
                                                                                          100.00%
                                                                                          SZ Cayman    持股
 20        SZ HK         中国香港       2008-01-29     1,000.00 港元          1.00 港元                       无实际经营业务         -
                                                                                          100.00%
 注:TJ HK、BJ HK、Solar Cell HK 及 SZ HK 已于 2020 年 1 月 17 日注销




                                                                        83
中芯国际集成电路制造有限公司                                                招股意向书



(二)公司控股子公司最近一年财务数据

       公司控股子公司最近一年经审计的财务数据如下:

                                                                            单位:万元
                                           2019 年 12 月 31 日/2019 年度
序号        公司名称
                               总资产                 净资产               净利润
 1       中芯上海               3,353,716.87           1,595,723.78          141,120.80
 2       中芯北京               8,130,308.16           1,515,438.03          246,833.88
 3       中芯天津               1,098,288.27             756,337.82           69,864.05
 4       中芯深圳                824,518.01              243,616.95          -14,869.60
 5       中芯北方               4,102,661.52           3,048,919.86          -31,769.70
 6       中芯南方               2,751,329.27           2,368,656.50          -65,214.00
 7       中芯长电                264,723.89              194,687.45            1,348.48
 8       中芯新技术              355,248.22              154,552.57          -10,517.08
 9       中芯控股               2,834,245.14              -4,440.55           50,813.61
 10      中芯集电               3,389,598.56           3,376,702.73                 817.12
 11      中芯晶圆                157,063.26              157,051.29             -519.96
 12      中芯晶圆宁波             88,310.43               85,242.21             -300.86
 13      宁波设计                         0.00                   0.00                 0.00
 14      芯电上海                410,901.53              -29,097.67          -15,972.63
 15      北京创新                 15,832.87               14,537.38             -459.61
 16      上海合芯                       531.29                 527.79                 1.84
 17      成都开发                   5,627.28                   506.64           -202.08
 18      SilTech Cayman             8,376.60               8,358.85                  -2.42
 19      SH Cayman                53,581.47               33,239.67           32,834.29
 20      Better Way               35,918.90               -1,006.49                   6.43
 21      TJ Cayman                      991.08            -1,619.52                   9.22
 22      SMIC BVI                219,614.06              -63,560.42          -12,680.00
 23      SMIC Americas              3,439.41               1,459.17                 -12.52
 24      SMIC Europe                2,648.28               1,780.58                  12.98
 25      SMIC Japan                 1,378.40                   206.26                17.66
 26      BJ Cayman                        0.00                 -17.85               -20.05
 27      Solar Cell                 7,265.16               7,252.56                  -2.56
 28      SZ Cayman                88,652.35               88,633.14                  -2.42
 29      SilTech HK                 8,376.87               8,372.29                  -0.37
 30      Magnificent                1,560.98              -2,329.22             -172.30
 31      SJ CA                   232,149.62              232,130.24                 101.35
 32      SJ HK                   230,357.59                     -0.93                -0.17


                                           84
中芯国际集成电路制造有限公司                                                     招股意向书


                                             2019 年 12 月 31 日/2019 年度
序号        公司名称
                                总资产                  净资产                 净利润
 33      SJ USA                          380.91                  380.91                 24.02
 34      TJ HK                         4,887.83                   -1.56                 -0.06
 35      BJ HK                              0.00                  -3.17                 -0.06
 36      Solar Cell HK                 7,259.85              7,254.71                   -0.17
 37      SZ HK                      88,652.52               88,649.12                   -0.26

       中芯深圳成立于 2008 年 3 月,其运营的 8 英寸成熟制程产线于 2014 年末投

产,报告期各期均形成亏损,主要系受到其运营时间相对较短、尚处于折旧高峰

期、客户及市场有待进一步开发的影响,随着产能利用率的不断提高及营业收入

的增长,报告期内中芯深圳的净亏损不断收窄。

       中芯北方成立于 2013 年 7 月,其运营的 12 英寸成熟制程产线于 2016 年年

中投产,报告期各期均形成亏损,主要系受到其运营时间相对较短、尚处于折旧

高峰期、客户及市场有待进一步开发的影响,随着产能利用率的不断提高及营业

收入的增长,报告期内中芯北方的净亏损不断收窄。

       中芯南方成立于 2016 年 12 月,系先进技术及制程产线的运营主体,提供

14nm FinFET 及以下的技术工艺。截至 2019 年 12 月 31 日,中芯南方仍处于开

办期,其运营的 12 英寸先进制程产线处于试生产阶段,因此报告期内形成了一

定亏损。

(三)公司重要控股子公司的具体情况

       截至 2019 年 12 月 31 日,中芯上海、中芯北京、中芯天津、中芯深圳、中

芯北方、中芯南方系公司集成电路晶圆代工业务重要的经营主体,形成一定的生

产销售规模或承担核心技术研发,于 2019 年末总资产规模占公司合并财务报表

总资产规模比例均超过 5%,属于重要控股子公司。上述重要控股子公司在技术

节点、工艺平台定位等方面的业务定位情况如下:

 重要控股子公司             产线情况               目前主要技术节点          工艺平台定位
                         1 条 12 英寸产线            14nm 及以下          先进工艺研发平台
       中芯上海
                         1 条 8 英寸产线             0.35um-90nm             成熟工艺平台
       中芯北京          1 条 12 英寸产线            0.18um-55nm             成熟工艺平台


                                             85
中芯国际集成电路制造有限公司                                                招股意向书


 重要控股子公司            产线情况              目前主要技术节点       工艺平台定位
    中芯天津            1 条 8 英寸产线             0.35um-90nm         成熟工艺平台
    中芯深圳            1 条 8 英寸产线            0.35um-0.15um        成熟工艺平台
    中芯北方            1 条 12 英寸产线            65nm-24nm           成熟工艺平台
    中芯南方            1 条 12 英寸产线            14nm 及以下         先进工艺平台
注:截至 2019 年末,中芯南方产线尚未达到转入固定资产条件

    上述重要控股子公司的具体情况如下:

    (1)中芯上海

       公司名称                     中芯国际集成电路制造(上海)有限公司
       公司类型                            有限责任公司(外国法人独资)
       设立日期                                      2000-12-21
       注册资本                                   219,000.00 万美元
       实收资本                                   177,000.00 万美元
注册地/主要生产经营地              中国(上海)自由贸易试验区张江路 18 号
      法定代表人                             ZHAO HAIJUN(赵海军)
   统一社会信用代码                              91310115710939629R
       股东构成                              中芯集电持有 100.00%股权

                         半导体(硅片及各类化合物半导体)集成电路芯片制造、针测及
                         测试,与集成电路有关的开发、设计服务、技术服务、光掩模制
       经营范围
                         造、测试封装,销售自产产品。(依法须经批准的项目,经相关
                         部门批准后方可开展经营活动)


    (2)中芯北京

       公司名称                     中芯国际集成电路制造(北京)有限公司
       公司类型                            有限责任公司(外国法人独资)
       设立日期                                      2002-07-25
       注册资本                                   100,000.00 万美元
       实收资本                                   100,000.00 万美元
注册地/主要生产经营地              北京市北京经济技术开发区文昌大道 18 号
      法定代表人                             ZHAO HAIJUN(赵海军)
   统一社会信用代码                              911103027404017237
       股东构成                              中芯集电持有 100.00%股权
                         半导体(硅片及各类化合物半导体)集成电路芯片的制造、针测
                         及测试、光掩模制造;与集成电路有关的开发、设计服务、技术
                         服务、测试封装;销售自产产品。(企业依法自主选择经营项目,
       经营范围
                         开展经营活动;依法须经批准的项目,经相关部门批准后依批准
                         的内容开展经营活动;不得从事本市产业政策禁止和限制类项目
                         的经营活动。)

                                            86
中芯国际集成电路制造有限公司                                           招股意向书


    (3)中芯天津

      公司名称                   中芯国际集成电路制造(天津)有限公司
      公司类型                       有限责任公司(外国法人独资)
      设立日期                                 2003-11-03
      注册资本                              129,000.00 万美元
      实收资本                               77,000.00 万美元
注册地/主要生产经营地               天津市西青经济开发区兴华道 19 号
     法定代表人                         ZHAO HAIJUN(赵海军)
  统一社会信用代码                         91120111717869977N
      股东构成                          中芯集电持有 100.00%股权
                        半导体(硅片及各类化合物半导体)集成电路芯片制造、针测及
                        测试,与集成电路有关的开发、设计服务、技术服务、光掩模制
      经营范围
                        造、测试封装,销售自产产品及以上相关服务;自有房屋租赁。
                        (依法须经批准的项目,经相关部门批准后方可开展经营活动)

    (4)中芯深圳

      公司名称                   中芯国际集成电路制造(深圳)有限公司
      公司类型                         有限责任公司(外商合资)
      设立日期                                 2008-03-20
      注册资本                               70,000.00 万美元
      实收资本                               70,000.00 万美元
注册地/主要生产经营地          深圳市坪山区龙田街道出口加工区高芯路 18 号
     法定代表人                         ZHAO HAIJUN(赵海军)
  统一社会信用代码                         914403006729728144
      股东构成                 中芯控股持股 81.86%、中芯集电持股 18.14%
                        半导体(硅及各类化合物半导体)集成电路芯片制造、针测及测
      经营范围          试、测试封装,与集成电路有关的开发、设计服务、技术服务、
                        销售自产产品。

    (5)中芯北方

      公司名称                   中芯北方集成电路制造(北京)有限公司
      公司类型                         有限责任公司(中外合资)
      设立日期                                 2013-07-12
      注册资本                              480,000.00 万美元
      实收资本                              480,000.00 万美元
注册地/主要生产经营地          北京市北京经济技术开发区文昌大道 18 号 9 幢
     法定代表人                                    周子学
  统一社会信用代码                         91110302071737747W
      股东构成                              股东                        持股比例


                                      87
中芯国际集成电路制造有限公司                                              招股意向书


                        大基金一期                                            32.00%
                        中芯控股                                              25.50%
                        中芯集电                                              13.00%
                        中芯北京                                              12.50%
                        北京集成电路制造和装备股权投资中心(有限合
                                                                               9.00%
                        伙)
                        北京亦庄国际投资发展有限公司                           5.75%
                        中关村发展集团股份有限公司                            1.125%
                        北京工业发展投资管理有限公司                          1.125%
                                                 合计                        100.00%
                        半导体(硅片及各类化合物半导体)集成电路芯片的制造(含线
                        宽 28 纳米及以下大规模数字集成电路制造)、针测及测试、光掩
      经营范围          模制造、测试封装;与集成电路有关的开发、设计服务、技术服
                        务;销售自产产品。(依法须经批准的项目,经相关部门批准后
                        依批准的内容开展经营活动。)

    (6)中芯南方

      公司名称                           中芯南方集成电路制造有限公司
      公司类型                             有限责任公司(中外合资)
      设立日期                                        2016-12-01
      注册资本                                   350,000.00 万美元
      实收资本                                   350,000.00 万美元
注册地/主要生产经营地          中国(上海)自由贸易试验区张江路 18 号 3 号楼 5 楼
     法定代表人                                         周子学
  统一社会信用代码                             91310115MA1K3K5P44
                                               股东                      持股比例
                        中芯控股                                              45.67%
                        大基金一期                                            27.04%
      股东构成
                        上海集成电路基金一期                                  22.86%
                        中芯上海                                               4.43%
                                               合计                          100.00%

                        集成电路芯片制造、针测及测试,与集成电路有关的开发、设计
                        服务、技术服务、光掩模制造、测试封装,销售自产产品,从事
      经营范围          上述相关产品的批发、进出口、佣金代理(拍卖除外),并提供
                        相关配套服务。(依法须经批准的项目,经相关部门批准后方可
                        开展经营活动)


    2020 年 5 月 15 日,中芯上海与中芯控股签订《股权转让协议》,将其持有
中芯南方 4.43%的股权以 15,500 万美元的价格平价转让给中芯控股,转让后中芯
控股持有中芯南方 50.10%的股权,中芯上海不再持有中芯南方的股权。同日,


                                          88
中芯国际集成电路制造有限公司                                                             招股意向书

中芯南方与中芯控股、大基金一期、大基金二期、上海集成电路基金一期、上海
集成电路基金二期签订《增资扩股协议》。2020 年 6 月 23 日,公司股东特别大
会审议通过上述事项,具体情况如下:

                                                                                       单位:万美元
                                                 增资前                            增资后
序号                股东
                                          金额                比例          金额            比例
  1                中芯控股             175,350.00            50.10%      250,350.00         38.52%
  2            大基金一期                94,650.00            27.04%       94,650.00         14.56%
  3       上海集成电路基金一期           80,000.00            22.86%       80,000.00         12.31%
  4            大基金二期                          -                  -   150,000.00         23.08%
  5       上海集成电路基金二期                     -                  -    75,000.00         11.53%
              合计                      350,000.00         100.00%        650,000.00        100.00%

      增资完成后中芯控股持有中芯南方 38.52%的股权并可委派中芯南方七名董
事中的四名,因此中芯南方仍为发行人控股子公司。


(四)公司重要参股公司基本情况

      截至 2019 年 12 月 31 日,与公司所处的集成电路晶圆代工产业链密切相关

或资产规模较大,且具有协同效应的重要的参股公司的基本情况如下:

      1、长电科技

        公司名称                              江苏长电科技股份有限公司
        公司类型                         股份有限公司(上市)(600584.SH)
        设立日期                                          1998-11-06
        注册资本                                       160,287.4555 万元
        实收资本                                       160,287.4555 万元
注册地/主要生产经营地                            江阴市澄江镇长山路 78 号
       法定代表人                                              郑力
  统一社会信用代码                                 91320200142248781B
                                                       股东                               持股比例
                           大基金一期                                                        19.00%
                           芯电上海                                                          14.28%

       前十大股东          江苏新潮科技集团有限公司                                           2.99%
                           无锡金投领航产业升级并购投资企业(有限合伙)                       2.09%
                           中央汇金资产管理有限责任公司                                       1.96%
                           前海人寿保险股份有限公司-分红保险产品华泰组
                                                                                              1.29%
                           合

                                             89
中芯国际集成电路制造有限公司                                             招股意向书


                        香港中央结算有限公司                                  1.25%
                        中国工商银行股份有限公司-广发双擎升级混合型
                                                                              0.97%
                        证券投资基金
                        中国工商银行股份有限公司-广发创新升级灵活配
                                                                              0.79%
                        置混合型证券投资基金
                        上海高毅资产管理合伙企业(有限合伙)-高毅邻
                                                                              0.72%
                        山 1 号远望基金
                                               合计                          45.34%
                        研制、开发、生产、销售半导体、电子原件、专用电子电气装置,
                        销售本企业自产机电产品及成套设备,自营和代理各类商品及技
      经营范围          术的进出口业务,开展本企业进料加工和“三来一补”业务;道
                        路普通货物运输。(依法须经批准的项目,经相关部门批准后方可
                        开展经营活动)
注:长电科技为上市公司,其股权结构为截至 2019 年 12 月 31 日前十大股东

    长电科技(600584.SH)是一家提供微系统集成封装测试服务的公司,服务

涉及集成电路的设计与特性仿真、晶圆中道封装及测试、系统级封装及测试等;

产品技术主要应用于 5G 通讯网络、智能移动终端、汽车电子、大数据中心与存

储、人工智能与工业自动化控制等电子整机和智能化领域。在集成电路制造服务

(代工)模式下,集成电路晶圆于集成电路制造服务企业制造完毕后进入封装测

试环节。长电科技提供封装测试服务,在产业链中位于发行人的下游,与发行人

具有产业链上下游的协同性。

    2、芯鑫租赁

      公司名称                          芯鑫融资租赁有限责任公司
      公司类型                          有限责任公司(中外合资)
      设立日期                                      2015-08-27
      注册资本                                 1,064,994.00 万元
      实收资本                                 1,064,994.00 万元
注册地/主要生产经营地     中国(上海)自由贸易试验区张杨路 707 号 32 楼 3205F 室
     法定代表人                                       杜洋
  统一社会信用代码                           9131011535067083X5
                                             股东                        持股比例
                        大基金一期                                           32.31%
                        中原豫资投资控股集团有限公司                          9.02%
      股东构成          中芯国际                                              7.44%
                        福建三安集团有限公司                                  7.33%
                        北京芯动能投资基金(有限合伙)                        6.54%
                        海峡半导体产业发展有限公司                            6.31%


                                        90
中芯国际集成电路制造有限公司                                               招股意向书


                        西藏紫光清彩投资有限公司                               4.69%
                        国开国际控股有限公司(China Development
                                                                               4.51%
                        Bank International Holdings Limited)
                        上海集成电路基金一期                                   4.51%
                        上海熔晟股权投资基金合伙企业(有限合伙)               3.27%
                        华宝企业有限公司                                       3.16%
                        傲峰投资控股有限公司                                   3.16%
                        长电国际(香港)贸易投资有限公司                       3.16%
                        江苏中能硅业科技发展有限公司                           2.82%
                        紫光香江有限公司                                       1.80%
                                             合计                            100.00%
                        融资租赁业务;租赁业务;向国内外购买租赁财产;租赁财产的
                        残值处理及维修;租赁交易咨询和担保;兼营与主营业务有关的
      经营范围
                        商业保理业务。(依法须经批准的项目,经相关部门批准后方可开
                        展经营活动)

    芯鑫租赁是一家专注于集成电路产业的融资租赁公司,通过综合运用直接融

资租赁、售后回租、经营性租赁等不同形式的本外币租赁,配套商业保理、咨询

等方式,为集成电路产业企业提供金融服务。芯鑫租赁提供专注于集成电路产业

的融资租赁服务,在产业链中属于支撑产业,与发行人具有产业链的协同性。

    3、中芯绍兴

      公司名称                       中芯集成电路制造(绍兴)有限公司
      公司类型                             有限责任公司(中外合资)
      设立日期                                 2018 年 03 月 09 日
      注册资本                                  588,000.00 万元
      实收资本                                  410,874.00 万元
注册地/主要生产经营地              浙江省绍兴市越城区皋埠镇临江路 518 号
     法定代表人                                       赵奇
  统一社会信用代码                          91330600MA2BDY6H13
                                             股东                       持股比例
                        绍兴市越城区集成电路产业基金合伙企业(有限
                                                                              68.03%
                        合伙)
      股东构成          中芯控股                                              23.47%
                        绍兴日芯锐企业管理合伙企业(有限合伙)                 5.10%
                        共青城橙芯股权投资合伙企业(有限合伙)                 3.40%
                                             合计                            100.00%
                        半导体(硅及各类化合物半导体)集成电路芯片制造、针测及测
      经营范围          试、测试封装;先进晶圆级封装;电子元器件及光学元器件研发
                        及制造;光刻掩模版开发制造;模具制造与加工;与集成电路、


                                        91
中芯国际集成电路制造有限公司                                           招股意向书

                       电子/光学元器件有关的开发、设计服务、技术服务;销售自产产
                       品,并提供相关技术咨询和技术服务;从事货物及技术的进出口
                       业务;自有设备、房屋租赁。(依法须经批准的项目,经相关部门
                       批准后方可开展经营活动)

    中芯绍兴是一家专注于为客户提供特色工艺集成电路芯片及模块封装的代

工生产制造服务的供应商,在产业链中属于集成电路制造服务环节,与发行人能

够实现产业链上的差异化互补和协同发展。

    4、中芯宁波

    公司名称                       中芯集成电路(宁波)有限公司
    公司类型                         有限责任公司(中外合资)
    设立日期                                   2016-10-14
    注册资本                                 182,000.00 万元
    实收资本                                 182,000.00 万元
注册地/主要生产
                      浙江省宁波市北仑区小港街道安居路 335 号 3 幢、4 幢、5 幢
    经营地
   法定代表人                                      黄河
统一社会信用代码                      91330206MA282QRM1W
                                            股东                        持股比例
                   中芯控股                                                38.57%
                   大基金一期                                              32.97%
                   宁波经济技术开发区产业发展投资有限公司                  15.82%
    股东构成       盈富泰克国家新兴产业创业投资引导基金(有限合伙)         5.49%
                   诸暨联砺品字标浙江制造集成电路股权投资合伙企业
                                                                            5.49%
                   (有限合伙)
                   北京集成电路设计与封测股权投资中心(有限合伙)           1.65%
                                            合计                          100.00%
                   半导体集成电路芯片、集成电路相关产品、光掩模的开发、设计、测
                   试、技术服务、销售及制造;自营或代理各类货物及技术的进出口业
    经营范围
                   务(除国家限定公司经营或禁止进出口的货物及技术)。(依法须经批
                   准的项目,经相关部门批准后方可开展经营活动)

    中芯宁波是一家专注于高压模拟、射频前端、光电集成等特种工艺技术开发

的公司,采用专业化晶圆代工(Foundry)与定制化设计生产(ODM)相结合的

新型商业模式。中芯宁波提供高压模拟等特种工艺技术开发,在产业链中属于集

成电路制造服务环节,与发行人能够实现产业链上的差异化互补和协同发展。




                                       92
中芯国际集成电路制造有限公司                                              招股意向书


(五)公司重要参股公司最近一年财务数据

       公司重要参股公司最近一年经审计的财务数据如下:

                                                                          单位:万元
                                          2019 年 12 月 31 日/2019 年度
序号        公司名称
                               总资产                 净资产              净利润
 1          长电科技            3,356,617.04          1,262,263.23           9,664.77
 2          芯鑫租赁            5,137,788.04          1,298,342.08          55,395.73
 3          中芯绍兴             658,810.62               347,075.57        -48,352.31
 4          中芯宁波             200,408.77               155,849.48        -24,311.09

(六)公司非重要参股公司和合伙企业的基本情况

       截至 2019 年 12 月 31 日,公司的非重要参股公司和合伙企业基本情况如下:

       1、实际运营实体

       (1)凸版彩晶

         公司名称                     凸版中芯彩晶电子(上海)有限公司
       认缴出资金额                              1,920.00 万美元
         持股比例                              中芯国际持股 30.00%
  入股时间/受让时间                             2004 年 11 月 24 日
                                               股东                       持股比例
                         凸版印刷株式会社(日本)                             70.00%
         股东构成
                         中芯国际                                             30.00%
                                               合计                          100.00%
         主营业务              成像传感器的设计,晶圆彩膜的设计、生产、加工

       (2)灿芯半导体

         公司名称                        灿芯半导体(上海)有限公司
       认缴出资金额                               186.41 万美元
         持股比例                              中芯控股持股 34.75%
  入股时间/受让时间                             2010 年 11 月 19 日
                                               股东                       持股比例
                         中芯控股                                             34.75%
         股东构成        上海灿楚企业管理中心(有限合伙)                     16.92%
                         Norwest Venture Partners X, LP                       14.99%
                         上海灿稻企业管理中心(有限合伙)                       8.51%


                                         93
中芯国际集成电路制造有限公司                                           招股意向书


                       BRITE EAGLE HOLDINGS, LLC                            8.04%
                       Gobi Line0 Limited                                   7.31%
                       CHUNXING ZHI(职春星)                               4.77%
                       IPV Capital I HK Limited                             2.05%
                       徐屏                                                 1.34%
                       Pierre Raphael Lamond                                0.96%
                       杨展悌                                               0.28%
                       陈志重                                               0.06%
                                            合计                          100.00%
      主营业务                                 集成电路设计服务

    (3)上海创新

      公司名称                      上海集成电路制造创新中心有限公司
    认缴出资金额                                  4,999.50 万元
      持股比例                              中芯上海持股 33.33%
  入股时间/受让时间                            2018 年 1 月 16 日
                                            股东                       持股比例
                       上海复旦资产经营有限公司                            33.34%
      股东构成         中芯上海                                            33.33%
                       上海集成电路研发中心有限公司                        33.33%
                                            合计                          100.00%
      主营业务                        集成电路技术研发、设计、测试

    (4)中芯协成

      公司名称                      中芯协成投资(北京)有限责任公司
    认缴出资金额                                  2,450.00 万元
      持股比例                                 中芯上海持股 49.00%
  入股时间/受让时间                             2012 年 1 月 9 日
                                            股东                       持股比例
                       中投发展有限责任公司                                51.00%
      股东构成
                       中芯上海                                            49.00%
                                            合计                          100.00%
      主营业务                                      项目管理

    (5)中芯聚源

      公司名称                    中芯聚源股权投资管理(上海)有限公司
    认缴出资金额                                   450.00 万元
      持股比例                              中芯上海持股 19.51%


                                       94
中芯国际集成电路制造有限公司                                           招股意向书


  入股时间/受让时间                            2014 年 2 月 27 日
                                             股东                      持股比例
                       上海芯齐投资中心(有限合伙)                        35.00%
                       中芯上海                                            19.51%
      股东构成         祝信标                                              17.50%
                       宁波月湖香庄文化发展有限公司                        17.50%
                       芯空间控股有限公司                                  10.49%
                                             合计                         100.00%
      主营业务                                      投资管理

    (6)盛吉盛

      公司名称                      盛吉盛(宁波)半导体科技有限公司
    认缴出资金额                                 600.00 万美元
      持股比例                               中芯控股持股 27.27%
  入股时间/受让时间                            2018 年 3 月 22 日
                                             股东                      持股比例
                       中芯控股                                            27.27%
                       TRIPLECORES KOREA CO., LTD.                         22.73%
      股东构成         芯鑫租赁                                            22.73%
                       芯空间控股有限公司                                  18.18%
                       天津吉盛管理咨询合伙企业(有限合伙)                 9.09%
                                             合计                         100.00%
      主营业务           半导体设备及配件的研发、翻新、改造、安装、维护、销售

    (7)华芯创投

      公司名称                               上海华芯创业投资企业
    认缴出资金额                                16,382.00 美元
      持股比例                                中芯国际持股 1.27%
  入股时间/受让时间                            2011 年 3 月 31 日
                                             股东                      持股比例
                       上海创业投资有限公司                                18.64%
                       国投高科技投资有限公司                               9.32%
                       Gaintech Co. Limited                                 9.32%
                       SVIC NO.28 NEW TECHNOLOGY BUSINESS
      股东构成                                                              9.32%
                       INVESTMENT L.L.P.
                       上海恒洲投资有限公司                                 7.45%
                       TSMC Partners,Ltd.                                   6.35%
                       INTERSIL CHINA LIMITED                               6.35%
                       Micron Semiconductor Asia Pte. Ltd.                  6.35%


                                        95
中芯国际集成电路制造有限公司                                                招股意向书


                       富士通半导体基因株式会社                                  6.35%
                       AG Investors, L.L.C.                                      4.76%
                       ARM Limited                                               1.90%
                       Maxim International Holdings, Inc.                        1.90%
                       东芝电子(中国)有限公司                                  1.86%
                       钰创科技(香港)有限公司                                  1.52%
                       中芯国际                                                  1.27%
                       Riverwood Capital Investments LLC                         1.27%
                       Clifford Higgerson                                        1.27%
                       Spreadtrum Hong Kong Limited                              1.27%
                       Chritor LLC                                               1.27%
                       Sanjay Mehrotra                                           1.27%
                       香港摩泽尔责任有限公司                                    1.00%
                                               合计                            100.00%
      主营业务                                         投资活动

    (8)上海新储

      公司名称                              上海新储集成电路有限公司
    认缴出资金额                                      190.00 万元
      持股比例                                 中芯上海持股 19.00%
  入股时间/受让时间                              2008 年 12 月 4 日
                                               股东                         持股比例
                       上海新微电子有限公司                                     51.00%
      股东构成         超捷存储技术股份有限公司                                 30.00%
                       中芯上海                                                 19.00%
                                               合计                            100.00%
      主营业务                           相变存储器的研发、生产和销售

    (9)CFT Nordic

      公司名称                       CFT Nordic Investment Center Limited
    认缴出资金额                                      700.00 万元
      持股比例                                 TJ Cayman 持股 49.00%
  入股时间/受让时间                              2016 年 10 月 7 日
                                               股东                         持股比例
                       HLWR Investment Limited                                  51.00%
      股东构成
                       TJ Cayman                                                49.00%
                                               合计                            100.00%
      主营业务                                         投资活动


                                          96
中芯国际集成电路制造有限公司                                                                                                    招股意向书




      2、股权投资企业

                                                                                                                                单位:万元
序号             公司名称              认缴出资金额          持股比例                 入股时间/受让时间               执行事务合伙人
        上海聚源聚芯集成电路产业股                    中芯晶圆持合伙财产份额                                     上海肇芯投资管理中心(有限
  1                                      70,000.00                                          2016 年
        权投资基金中心(有限合伙)                            31.63%                                                       合伙)
          青岛华芯创原创业投资中心                    中芯晶圆持合伙财产份额                                     青岛华芯博原创业投资管理
  2                                      3,000.00                                           2017 年
                (有限合伙)                                    3.00%                                                中心(有限合伙)
        北京集成电路设计与封测股权                    中芯晶圆持合伙财产份额                                     北京清芯华创投资管理有限
  3                                      10,000.00                                          2014 年
            投资中心(有限合伙)                                8.92%                                                        公司
        中芯海河赛达(天津)产业投                    中芯晶圆宁波持合伙财产份                                   天津熠芯投资管理中心(有限
  4                                      10,000.00                                          2018 年
          资基金中心(有限合伙)                              额 9.05%                                                     合伙)
        上海物联网二期创业投资基金                    中芯晶圆宁波持合伙财产份   2016 年中芯晶圆入伙,2018 年    上海上创新微投资管理有限
  5                                      1,300.00
            合伙企业(有限合伙)                              额 3.61%                转让给中芯晶圆宁波                     公司
                                                                                 2014 年中芯晶圆入伙 3,000 万
        北京吾金创业投资中心(有限                    中芯晶圆宁波持合伙财产份                                   北京金吾兴业投资管理有限
  6                                        0.30                                  元,2018 年转让给中芯晶圆宁
                  合伙)                                      额 32.61%                                                    公司
                                                                                 波,2018 年减资 2,999.70 万元
        盈富泰克(深圳)环球技术股
                                                      中芯晶圆宁波持合伙财产份                                   尧芯(深圳)商务信息咨询有
  7     权投资基金合伙企业(有限合       16,500.00                                          2018 年
                                                              额 10.21%                                                    限公司
                    伙)
          无锡志芯集成电路投资中心                    中芯晶圆宁波持合伙财产份
  8                                      5,000.00                                           2018 年              上海临芯投资管理有限公司
                (有限合伙)                                  额 16.53%
        上海聚源载兴投资中心(有限                    中芯晶圆宁波持合伙财产份   2015 年中芯晶圆入伙,2019 年    宁波聚源立诚投资合伙企业
  9                                      10,000.00
                  合伙)                                      额 66.23%               转让给中芯晶圆宁波                 (有限合伙)
                                                                                 2014 年中芯晶圆入伙 9,800 万
                                                      中芯晶圆宁波持合伙财产份                                   中芯聚源(宁波)投资管理合
 10     上海信芯投资中心(有限合伙)     8,274.19                                元,2016 年减资 1,525.81 万元,
                                                              额 49.00%                                              伙企业(有限合伙)
                                                                                   2018 年转让给中芯晶圆宁波




                                                                    97
中芯国际集成电路制造有限公司                                                                                                      招股意向书



序号            公司名称              认缴出资金额          持股比例                  入股时间/受让时间                 执行事务合伙人
       上海聚源启泰投资中心(有限                    中芯晶圆宁波持合伙财产份   2015 年中芯晶圆入伙,2018 年       中芯聚源(宁波)投资管理合
 11                                     1,000.00
                 合伙)                                      额 33.00%                转让给中芯晶圆宁波               伙企业(有限合伙)
         苏州聚源东方投资基金中心                    中芯晶圆宁波持合伙财产份   2015 年中芯晶圆入伙,2019 年       苏州聚源利泽投资中心(有限
 12                                     5,000.00
               (有限合伙)                                  额 44.83%                转让给中芯晶圆宁波                     合伙)
                                                                                2014 年中芯晶圆入伙 1,820 万
                                                     中芯晶圆宁波持合伙财产份                                      中芯聚源股权投资管理(上
 13    上海诚芯投资中心(有限合伙)     1,156.995                               元,2017 年减资 663.005 万,2018
                                                             额 31.50%                                                   海)有限公司
                                                                                     年转让给中芯晶圆宁波
注:中芯晶圆所持北京集成电路设计与封测股权投资中心(有限合伙)全部股权已于 2020 年 1 月 22 日转让予中芯晶圆宁波




                                                                   98
中芯国际集成电路制造有限公司                                               招股意向书


八、持有公司 5%以上股份的主要股东及实际控制人的基本情况

(一)控股股东和实际控制人

       报告期内,公司股权较为分散,任何单一股东持股比例均低于 30.00%。截

至 2019 年 12 月 31 日,公司第一大股东大唐香港持股比例为 17.00%,第二大股

东鑫芯香港持股比例为 15.76%,董事会现有 14 位董事,各股东提名的董事人数

均低于董事总人数的二分之一,不存在单一股东通过实际支配公司股份表决权能

够决定公司董事会半数以上成员选任或足以对股东大会的决议产生重大影响的

情形,且公司主要股东之间无关联关系、一致行动关系,因此,公司无控股股东

和实际控制人。

(二)持有 5%以上股份的主要股东

       截至 2019 年 12 月 31 日,直接持有公司 5%以上股份的股东包括大唐控股(香

港)投资有限公司和鑫芯(香港)投资有限公司。其基本情况如下:

       1、大唐控股(香港)投资有限公司

       (1)基本情况

       大唐香港成立于 2008 年 12 月 3 日,直接持有发行人 17.00%的股份。大唐

香港的基本情况如下:

公司名称       大唐控股(香港)投资有限公司
公司编号       1291749
               大唐电信科技产业控股有限公司持有普通股 1,000 股
已发行股份
               Lightmane Holdings Company Limited 持有可换股优先股 284,000,000 股
注册地         香港中环康乐广场 8 号交易广场三期 26 楼
成立日期       2008 年 12 月 3 日
主营业务       投资控股
股东           大唐电信科技产业控股有限公司

       (2)股权结构

       大唐香港的股权结构如下:




                                          99
中芯国际集成电路制造有限公司                                            招股意向书


                        中国信息通信科技集团有限公司

                                       100%



                         电信科学技术研究院有限公司

                                       100%



                        大唐电信科技产业控股有限公司

                                       100%(普通股)



                        大唐控股(香港)投资有限公司


    (3)主要财务数据

    大唐香港最近一年的主要财务数据如下:
                                                                        单位:万元
      项目                           2019 年 12 月 31 日/2019 年度
     总资产                                   1,078,130.28
     净资产                                   1,065,288.76
     净利润                                     2,544.29
    审计情况                   经立信会计师事务所(特殊普通合伙)审计

    (4)优先认购权的情况

    2008 年 11 月 6 日,公司与大唐香港的全资股东大唐控股签订《股权购买协

议》,双方约定:若公司发行新的普通股、任何可转换为或可交换为普通股的证

券、或任何可认购普通股的认股证或其他权利,除若干例外情况,大唐控股或其

附属公司拥有优先认购权。针对本次 A 股发行,大唐控股已同意放弃该优先认

购权。

    (5)Lightmane Holdings 持有大唐香港可换股优先股

    2018 年 6 月 5 日,大唐香港与 Lightmane Holdings 签订《股份认购协议》,

向 Lightmane Holdings 发行 284,000,000 股可换股优先股,发行价格为每股 1 美

元。Lightmane Holdings 作为持有大唐香港 284,000,000 股可换股优先股的股东享

有获取分红的优先权但没有投票权。

                                      100
中芯国际集成电路制造有限公司                                                 招股意向书


       根据《股份认购协议》约定,只要在可换股优先股转换成普通股后大唐控股

仍为大唐香港的控股股东及电信科学技术研究院有限公司仍为大唐控股的实际

控制人,且在换股后持有大唐香港普通股比例不高于大唐控股及其关联方的联合

持股比例时,Lightmane Holdings 作为可换股优先股股东有权依据其自行判断将

所持有的该等可换股优先股转为大唐香港的普通股,前述权利仅能行使一次。倘

若行使换股权利,根据大唐香港目前的股本结构计算,Lightmane Holdings 将持

有大唐香港普通股比例约为 19.36%。

       2、鑫芯(香港)投资有限公司

       (1)基本情况

       鑫芯香港成立于 2015 年 1 月 27 日,直接持有发行人 15.76%的股份。鑫芯

香港的基本情况如下:

公司名称      鑫芯(香港)投资有限公司
公司编号      2196863
已发行股份    巽鑫(上海)投资有限公司持有 11,712,823,204 股普通股
注册地        31/F., Tower Two, Times Square, 1 Matheson Street, Causeway Bay, Hong Kong
成立日期      2015 年 1 月 27 日
主营业务      投资控股
股东          巽鑫(上海)投资有限公司

       (2)股权结构

       鑫芯香港股权结构如下:

                              国家集成电路产业投资基金
                                    股份有限公司
                                           100%



                              巽鑫(上海)投资有限公司

                                           100%



                              鑫芯(香港)投资有限公司


       (3)主要财务数据

                                          101
中芯国际集成电路制造有限公司                                     招股意向书


    鑫芯香港最近一年的主要财务数据如下:
                                                                 单位:万元
      项目                       2019 年 12 月 31 日/2019 年度
     总资产                              1,477,334.15
     净资产                              1,477,319.60
     净利润                               494,490.12
    审计情况                              未经审计

    (4)优先认购权的情况

    2015 年 2 月 12 日,公司与鑫芯香港的间接全资股东大基金一期签订《股权

购买协议》,双方约定:公司发行新的普通股、任何可转换为或可交换为普通股

的证券、或任何可认购普通股的认股证或其他权利,除若干例外情况外,大基金

一期或其附属公司拥有优先认购权。针对本次 A 股发行,大基金一期已同意放

弃该优先认购权。

    3、优先购买权的具体内容,签署上述协议是否履行了必要的决策程序

    (1)大唐控股通过大唐香港入股发行人

    2008年11月6日,发行人与大唐香港的全资股东大唐控股签订《股权购买协

议》,双方约定大唐控股通过其香港附属公司认购发行人3,699,094,300股新股。

上述《股权购买协议》经发行人于2008年11月4日召开的董事会审议通过。关于

向大唐控股之香港附属公司发行新股,以及与大唐控股签署包含优先认购权条款

(具体内容如下)的协议,发行人已根据《香港上市规则》及《公司章程》的规

定履行了必要的内部决策程序。

    根据该等《股权购买协议》的约定,如发行人发行新的普通股、任何可转换

为或可交换为普通股的证券,或任何可认购普通股的认股证或其他权利,除若干

例外情况,大唐控股及其附属公司拥有优先认购权,且大唐控股及其附属公司行

使优先认购权后,(1)可持有比单个投资者或投资者集团在一致行动中实益拥有

发行人股份的数目多一股的权利,除非大唐控股及其附属公司拥有发行人的股份

少于2,774,320,725股;或至少三分之二的董事依赖诚实信用原则作出书面决议,

认定大唐控股及其附属公司行使相关权利对发行人及其股东作为一个整体不是


                                  102
中芯国际集成电路制造有限公司                                  招股意向书


最为有利的;或(2)除前述(1)外,相当于大唐控股及其附属公司在有关证券

发行前实益拥有的发行人已发行的股本比例的有关证券比例,前提是大唐控股及

其附属公司持有发行人的股份不少于1,849,547,150股股份。

    2014年8月,发行人与大唐控股、大唐香港签署了《股权购买协议之补充协

议》,对《股权购买协议》中关于行使优先认购权的第(2)种情况进行了修订,

明确大唐控股及其附属公司有权认购的新股份比例将按照于发行人就涉及发行

有关新股份的交易达成协议或多项协议前,大唐控股及其附属公司所持有的已发

行股份百分比的比例确定,前提是大唐控股及其附属公司须持有不少于

1,849,547,150股股份。2014年11月5日,发行人召开股东特别大会,批准前述《股

权购买协议之补充协议》。

    (2)大基金一期通过鑫芯香港入股发行人

    2015年2月12日,发行人与鑫芯香港的间接全资股东大基金一期签订《股权

购买协议》,双方约定大基金一期认购发行人4,700,000,000股新股。上述《股权

购买协议》经发行人于2015年2月9日召开的董事会审议通过。关于向大基金一期

之香港附属公司发行新股,以及与大基金一期签署包含优先认购权条款(具体内

容如下)的协议,发行人已根据《香港上市规则》及《公司章程》的规定履行了

必要的内部决策程序。

    根据上述《股权购买协议》的约定,如发行人发行新的普通股、任何可转换

为或可交换为普通股的证券,或任何可认购普通股的认股证或其他权利,除若干

例外情况外,大基金一期或其附属公司有优先按比例购买发行人发行的该等新证

券的权利,该比例相当于发行该等新证券前大基金一期及其附属公司当时实益拥

有发行人已发行股本的百分比,前提是大基金一期及其附属公司须持有相当于不

少于发行人不时已发行股本5%的权益。

    (3)上述股东权利对其他股东及发行人的影响

    依据《开曼群岛法律意见书》《香港法律意见书》,大唐控股及其附属公司、

大基金一期或其附属公司基于协议的约定对发行人享有优先认购权不违反开曼


                                  103
中芯国际集成电路制造有限公司                                  招股意向书


群岛和香港地区适用法律的规定。上述股东权利对于其他股东及发行人的影响如

下:

    ①对于其他股东的影响

    根据《香港上市规则》的规定,上市公司的主要股东为上市公司的关连人,

而主要股东是指有权于发行人的任何股东大会上行使或控制行使10%或以上投

票的人士,因此,大唐控股及大基金一期均构成发行人在《香港上市规则》项下

的关连人。根据《香港上市规则》对于关连交易的相关规定,发行人因大唐控股

及大基金一期在行使优先认购权而向其配售股份时,该等发行的实施需要取得半

数以上的独立股东批准。

    综上,相较其他股东,大唐控股及其附属公司、大基金一期或其附属公司的

优先认购权使其有机会在发行人发行新的普通股、任何可转换为或可交换为普通

股的证券,或任何可认购普通股的认股证或其他权利时,受到更小的股权比例摊

薄影响,但是该优先认购权的行使前提为超过半数的独立股东审议通过,因此,

该股东权利未对其他股东的合法权益造成实质损害。

    ②对于发行人控制权的影响

    根据《股权购买协议》的相关条款,发行人控制权不会仅因为大唐控股或大

基金一期行使上述优先认购权发生变更。

(三)控股股东和实际控制人控制的其他企业

    截至 2019 年 12 月 31 日,公司无控股股东和实际控制人,主要股东大唐香

港、鑫芯香港不存在控制的其他企业。

(四)控股股东和实际控制人直接或间接持有公司股份的质押或其他有争议的

情况

    截至 2019 年 12 月 31 日,公司无控股股东和实际控制人,主要股东大唐香

港、鑫芯香港持有的公司股份不存在质押或其他有争议的情况。




                                  104
中芯国际集成电路制造有限公司                                                        招股意向书


九、公司股本情况

(一)本次发行前后的股本情况

         2020 年 1 月至 5 月,公司根据股权激励计划及可换股债券合计发行普通股

393,934,314 股,截至 2020 年 5 月 31 日,公司已发行普通股为 5,450,803,226 股。

         本次初始发行的股票数量为 168,562.00 万股,不涉及股东公开发售股份,占

初始发行后股份总数的 23.62%(行使超额配售选择权之前)。本次初始发行前后

公司股本结构如下:

                                                                                    单位:万股
                                                                         本次发行后
                                          本次发行前
序号          股东名称                                           (行使超额配售选择权之前)
                                 股份数量        持股比例           股份数量        持股比例
 1        大唐香港                  85,952.26          15.77%         85,952.26         12.04%
 2        鑫芯香港                  79,705.49          14.62%         79,705.49         11.17%
 3        其他股东                379,422.57           69.61%        379,422.57         53.17%
                        拟本次 A 股发行                              168,562.00         23.62%
             合计                 545,080.32          100.00%        713,642.32        100.00%
注:本次发行前后股份总数均以 2020 年 5 月 31 日为基准计算

(二)主要股东及其他股东持股情况

         公司系香港联交所上市公司,股东所持公司股票持续在二级市场流通,根据

香港《证券及期货条例》XV 部分权益披露章节的相关规定,当股东所持上市公

司有投票权股份比例达到或超过 5.00%时,即负有法定的信息披露义务。

         截至 2020 年 5 月 31 日,公司主要股东大唐香港、鑫芯香港及其他股东所持

公司股份情况如下:

                                                                                    单位:万股
 序号                    股东名称                        持股数量                 持股比例
     1       大唐香港                                            85,952.26              15.77%
     2       鑫芯香港                                            79,705.49              14.62%
     3       其他股东                                           379,422.57              69.61%
                     合计                                       545,080.32             100.00%
注:“其他股东”中不存在单一持股超过 5%的股东



                                                105
中芯国际集成电路制造有限公司                                            招股意向书


(三)主要自然人股东及其在公司任职情况

    截至 2020 年 5 月 31 日,公司主要股东均为法人股东。

(四)本次发行前主要股东间的关联关系及关联股东的持股比例

    截至 2020 年 5 月 31 日,公司主要股东大唐香港、鑫芯香港分别持有公司

15.77%、14.62%的股份,相互之间不存在关联关系。


十、董事、高级管理人员与核心技术人员情况

(一)董事简介

    截至本招股意向书签署日,发行人共有董事 14 名,其中执行董事 4 名,非

执行董事 5 名,独立非执行董事 5 名。发行人董事的基本情况如下:

      姓名         在发行人担任职务           董事类别及任期              提名人
                                       第一类董事,任期为 2020.06.23
                                       至(a)2023.06.22;或(b)2023
     周子学        董事长、执行董事                                       董事会
                                       年股东周年大会之日期(以较早
                                                  者为准)
                                       第二类董事,任期为 2018.06.22
 ZHAO HAIJUN      联合首席执行官、执   至(a)2021.06.21;或(b)2021
                                                                          董事会
  (赵海军)            行董事         年股东周年大会之日期(以较早
                                                  者为准)
                                       第三类董事,任期为 2019.06.21
                  联合首席执行官、执   至(a)2022.06.20;或(b)2022
     梁孟松                                                               董事会
                        行董事         年股东周年大会之日期(以较早
                                                  者为准)
                                       第一类董事,任期为 2020.06.23
                  首席财务官、执行副
                                       至(a)2023.06.22;或(b)2023
     高永岗       总裁、联席公司秘书                                      董事会
                                       年股东周年大会之日期(以较早
                      兼执行董事
                                                  者为准)
                                       第一类董事,任期为 2020.06.23
                                       至(a)2023.06.22;或(b)2023
     童国华           非执行董事                                         大唐控股
                                       年股东周年大会之日期(以较早
                                                  者为准)
                                       第二类董事,任期为 2018.06.22
                                       至(a)2021.06.21;或(b)2021
     陈山枝           非执行董事                                         大唐控股
                                       年股东周年大会之日期(以较早
                                                  者为准)
                                       第二类董事,任期为 2018.06.22
                                       至(a)2021.06.21;或(b)2021
      路军            非执行董事                                          董事会
                                       年股东周年大会之日期(以较早
                                                  者为准)


                                       106
中芯国际集成电路制造有限公司                                           招股意向书


      姓名         在发行人担任职务          董事类别及任期              提名人
                                      第三类董事,任期为 2019.06.21
                                      至(a)2022.06.20;或(b)2022    大基金一
      任凯            非执行董事
                                      年股东周年大会之日期(以较早        期
                                                 者为准)
                                      第三类董事,任期为 2019.06.21
                                      至(a)2022.06.20;或(b)2022
      周杰            非执行董事                                         董事会
                                      年股东周年大会之日期(以较早
                                                 者为准)
                                      第二类董事,任期为 2019.06.21
                                      至(a)2022.06.20;或(b)2021
     刘遵义         独立非执行董事                                       董事会
                                      年股东周年大会之日期(以较早
                                                 者为准)
                                      第一类董事,任期为 2020.06.23
WILLIAM TUDOR                         至(a)2023.06.22;或(b)2023
                    独立非执行董事                                       董事会
    BROWN                             年股东周年大会之日期(以较早
                                                 者为准)
                                      第三类董事,任期为 2019.06.21
   JINGSHENG
                                      至(a)2022.06.20;或(b)2022
  JASON CONG        独立非执行董事                                       董事会
                                      年股东周年大会之日期(以较早
    (丛京生)
                                                 者为准)
                                      第二类董事,任期为 2019.06.21
                                      至(a)2022.06.20;或(b)2021
     范仁达         独立非执行董事                                       董事会
                                      年股东周年大会之日期(以较早
                                                 者为准)
                                      第三类董事,任期为 2020.06.23
  KWANG-LEEI
                                      至(a)2023.06.22;或(b)2022
     YOUNG          独立非执行董事                                       董事会
                                      年股东周年大会之日期(以较早
   (杨光磊)
                                                 者为准)

    有关董事任期类别的治理制度详情参见本招股意向书“第七节 公司治理与

独立性”之“一、公司治理相关制度的建立健全和运行情况”之“(二)董事会”。

    上述董事的简历如下:

    1、执行董事

    (1)周子学

    周子学,男,1956 年 7 月出生,中国国籍,无境外永久居留权,博士学位。

1980 年至 2008 年曾先后任职于国营东光电工厂、电子工业部、机械电子工业部、

电子工业部、信息产业部。2009 年至 2015 年担任工业和信息化部财务司司长、

总经济师。2015 年至今担任中芯国际董事长兼执行董事。周子学先生同时担任

中国电子信息行业联合会副主席兼秘书长、中国半导体行业协会理事长、长电科


                                      107
中芯国际集成电路制造有限公司                                   招股意向书


技(600584.SH)董事长、云南南天电子信息产业股份有限公司(000948.SZ)独

立董事、海信视像科技股份有限公司(600060.SH)独立董事。

    (2)ZHAO HAIJUN(赵海军)

    ZHAO HAIJUN(赵海军),男,1963 年 10 月出生,新加坡国籍,博士学位,

拥有 20 多年半导体运营及技术研发经验。2010 年至 2016 年期间,历任中芯国

际首席运营官兼执行副总裁、中芯北方总经理。2017 年 10 月至今担任中芯国际

联合首席执行官兼执行董事。ZHAO HAIJUN(赵海军)先生同时担任浙江巨化

股份有限公司(600160.SH)董事。

    (3)梁孟松

    梁孟松,男,1952 年 7 月出生,中国台湾籍,博士学位,拥有逾 450 项专

利,曾发表技术论文 350 余篇。曾任台湾积体电路制造股份有限公司资深研发处

长,2017 年 10 月至今担任中芯国际联合首席执行官兼执行董事。梁孟松先生同

时担任电机和电子工程师学会院士(IEEE Fellow)。

    (4)高永岗

    高永岗,男,1965 年 3 月出生,中国国籍,无境外永久居留权,博士学位。

曾任电信科学技术研究院总会计师、大唐电信集团财务有限公司董事长。2009

年至今,历任中芯国际非执行董事、战略规划执行副总裁、执行董事、首席财务

官、联席公司秘书。高永岗先生同时担任中国会计学会常务理事、中国企业财务

管理协会常务理事、香港独立董事协会创始会员、理事。

    2、非执行董事

    (1)童国华

    童国华,男,1957 年 10 月出生,中国国籍,无境外永久居留权,博士学位,

教授级高级工程师。2004 年至 2016 年曾任武汉邮电科学研究院院长兼党委书记,

2016 年担任电信科学技术研究院院长兼党委书记及大唐电信科技产业控股有限

公司执行董事兼总裁,2017 年至今担任电信科学技术研究院有限公司董事长、


                                   108
中芯国际集成电路制造有限公司                                   招股意向书


总经理兼党委书记及大唐电信科技产业控股有限公司执行董事兼总裁、中芯国际

非执行董事,2018 年至今担任中国信息通信科技集团有限公司党委书记、董事

长。

    (2)陈山枝

    陈山枝,男,1969 年 2 月出生,中国国籍,无境外永久居留权,博士学位,

教授级高级工程师。2009 年至今担任中芯国际非执行董事。陈山枝先生同时担

任中国信息通信科技集团有限公司副总经理、专家委员会主任、无线移动通信国

家重点实验室主任、新一代移动通信无线网络与芯片技术国家工程实验室理事长

及主任,以及中国电子学会理事与会士、中国通信学会常务理事与会士、中国通

信标准化协会理事、中国高科技产业化研究会信息化工作委员会理事长、国际电

气与电子工程师学会会士(IEEE Fellow)。

    (3)路军

    路军,男,1968 年 10 月出生,中国国籍,无境外永久居留权,硕士学位,

高级工程师。1994 年至 2002 年曾任职于国家开发银行交通信贷局、华东信贷局、

南京分行计划财务及信贷处及评审二局,2002 年至 2010 年历任国家开发银行南

京分行评审处处长、国家开发银行江苏分行评审处处长、国家开发银行投资业务

局产业整合创新处处长、国家开发银行上海分行副行长,2010 年至今任国开金

融有限责任公司副总裁,2014 年至今先后担任华芯投资管理有限责任公司副总

裁、总裁,2016 年至今担任中芯国际非执行董事。路军先生同时担任大基金一

期、大基金二期董事、国开装备制造产业投资基金有限责任公司执行董事、国开

熔华产业投资基金管理有限责任公司董事长、芯鑫租赁董事。

    (4)任凯

    任凯,男,1972 年 4 月出生,中国国籍,无境外永久居留权,硕士学位,

高级工程师。1995 年至 2014 年曾任职于国家开发银行机电轻纺信贷局、成都代

表处、评审四局、评审三局、评审二局,2014 年至今担任华芯投资管理有限责

任公司副总裁,2015 年至今担任中芯国际非执行董事。任凯先生同时担任中芯


                                   109
中芯国际集成电路制造有限公司                                    招股意向书


长电董事、长电科技(600584.SH)董事、上海万业企业股份有限公司(600641.SH)

副董事长、上海硅产业集团股份有限公司(688126.SH)副董事长、长江存储科

技有限责任公司董事、武汉新芯集成电路制造有限公司董事、三安光电股份有限

公司(600703.SH)董事。

    (5)周杰

    周杰,男,1967 年 12 月出生,中国国籍,无境外永久居留权,硕士学位。

1992 年至 1996 年曾任职于上海万国证券有限公司,1996 年至 2016 年历任上海

上实资产经营有限公司投资部经理、副总经理、董事长兼总经理、上海实业医药

科技(集团)有限公司董事兼总经理、上海实业控股有限公司(0363.HK)执行

董事兼副行政总裁、执行董事兼常务副总裁、副董事长兼行政总裁、上海上实(集

团)有限公司策划总监、执行董事兼副总裁、执行董事兼常务副总裁、总裁兼党

委副书记、上海医药集团股份有限公司(601607.SH,2607.HK)监事长、董事

长兼党委书记,2009 年至今担任中芯国际非执行董事。周杰先生同时担任海通

证券股份有限公司(600837.SH,6837.HK)董事长、党委书记、上海证券交易

所监事及薪酬委员会主任、上海市证券同业公会会长、上海市人大代表、上海金

融业联合会副理事长、上海金融理财师协会会长、上海市仲裁委员会仲裁员。

    3、独立非执行董事

    (1)刘遵义

    刘遵义,男,1944 年 12 月出生,中国香港籍,博士学位。1966 年至 2014

年历任斯坦福大学教授、斯坦福大学亚太研究中心共同主任、斯坦福经济政策研

究所主任、香港中文大学校长、中投国际(香港)有限公司董事长,2008 年至

2018 年担任中国人民政治协商会议第十一届及第十二届全国委员会委员及其经

济委员会副主任,2007 年至今担任香港中文大学蓝饶富暨蓝凯丽经济学讲座教

授,2018 年至今担任中芯国际独立非执行董事。刘遵义先生同时担任友邦保险

控股有限公司(1299.HK)独立非执行董事、中国海洋石油有限公司(00883.HK)

独立非执行董事、远传电信股份有限公司(4904.TW)独立董事、中国国际经济

交流中心副理事长、国际欧亚科学院中国科学中心副主席、中国国家开发银行国

                                   110
中芯国际集成电路制造有限公司                                     招股意向书


际顾问委员会成员、香港中文大学(深圳)高等金融研究院理事会理事长、香港

外汇基金咨询委员会辖下货币发行委员会委员、香港贸发局一带一路及大湾区委

员会委员、团结香港基金副主席及吕志和奖奖项推荐委员会委员及主席、台北蒋

经国国际学术交流基金会董事会成员。

    (2)WILLIAM TUDOR BROWN

    WILLIAM TUDOR BROWN,男,1958 年 7 月出生,英国国籍,注册工程

师,英国工程及科技学会与英国皇家工程院资深会员,硕士学位。1983 年至 2018

年曾任 Acorn Computers Ltd.首席工程师、ARM Holdings PLC 工程总监、首席技

术官、全球发展的执行副总裁、首席营运官及总裁、ANT Software PLC 独立非

执行董事、Xperi, Inc 独立非执行董事,自 2013 年至今担任中芯国际独立非执行

董事。WILLIAM TUDOR BROWN 先生同时担任联想集团有限公司独立非执行

董事、Marvell Technology Group 独立非执行董事。

    (3)JINGSHENG JASON CONG(丛京生)

    JINGSHENG JASON CONG(丛京生),男,1963 年 2 月出生,美国国籍,

博士学位,美国国家工程院院士。2017 年至今担任中芯国际独立非执行董事,

同时担任美国加州大学洛杉矶分校杰出校长讲席教授、特定域计算中心主任及超

大规模集成电路技术实验室主任、Falcon Computing Solutions Inc.的联合创始人、

首席科学顾问和董事会主席、Inspirit IoT, Inc.董事。

    (4)范仁达

    范仁达,男,1960 年 5 月出生,中国香港籍,硕士学位。2018 年至今担任

中芯国际独立非执行董事,同时担任 AsiaLink Capital Limited 主席兼董事总经理、

中信资源控股有限公司(1205.HK)独立非执行董事、统一企业中国控股有限公

司(0220.HK)独立非执行董事、利民实业有限公司(0229.HK)独立非执行董

事、上海实业城市开发集团有限公司(0563.HK)独立非执行董事、国开国际投

资有限公司(1062.HK)独立非执行董事、同方泰德国际科技有限公司(1206.HK)

独立非执行董事、中国地利集团(1387.HK)独立非执行董事、同方友友控股有


                                     111
中芯国际集成电路制造有限公司                                                招股意向书


限公司(1868.HK)独立非执行董事、香港资源控股有限公司(2882.HK)独立

非执行董事、天福(开曼)控股有限公司(6868.HK)独立非执行董事和香港独

立非执行董事协会创始会长。

     (5)KWANG-LEEI YOUNG(杨光磊)

     KWANG-LEEI YOUNG(杨光磊),男,1959 年 8 月出生,美国国籍,博士

学位。1986 年至 2018 年历任美国麻省理工学院林肯国家实验中心研究员、美国

惠普公司高级技术员、新加坡特许半导体制造有限公司高级经理、台湾华邦电子

股份有限公司研发副处长、世大积体电路制造公司工程处长、台湾积体电路制造

股 份 有 限 公 司 研 发 处 长 , 2019 年 至 今 担 任 中 芯 国 际 独 立 非 执 行 董 事 。

KWANG-LEEI YOUNG(杨光磊)先生同时担任一一数位股份有限公司非执行联

合创始人和董事、鼎恒数位科技股份有限公司独立董事。

(二)高级管理人员简介

     截至本招股意向书签署日,公司共有 4 名高级管理人员,具体情况如下:

             姓名                      在发行人担任高管职务             任职起始期
  ZHAO HAIJUN(赵海军)                   联合首席执行官                2017 年 5 月
            梁孟松                        联合首席执行官                2017 年 10 月
                                            执行副总裁                  2013 年 6 月
            高永岗
                                            首席财务官                  2014 年 2 月
 ZHOU MEISHENG(周梅生)                技术研发执行副总裁              2017 年 10 月

     上述高级管理人员简历如下:

     1、ZHAO HAIJUN(赵海军)

     简历详见本节之“十、董事、高级管理人员与核心技术人员情况”之“(一)

董事简介”部分相关内容。

     2、梁孟松

     简历详见本节之“十、董事、高级管理人员与核心技术人员情况”之“(一)

董事简介”部分相关内容。



                                          112
中芯国际集成电路制造有限公司                                         招股意向书


      3、高永岗

      简历详见本节之“十、董事、高级管理人员与核心技术人员情况”之“(一)

董事简介”部分相关内容。

      4、ZHOU MEISHENG(周梅生)

      ZHOU MEISHENG(周梅生),女,1958 年 1 月出生,新加坡国籍,博士学

位。曾任泛林半导体设备技术公司中国区首席技术官,并曾于特许半导体制造有

限公司、台湾积体电路制造股份有限公司、联华电子股份有限公司及格罗方德半

导体股份有限公司担任管理职务。2017 年至今担任中芯国际技术研发执行副总

裁。

(三)核心技术人员

      截至本招股意向书签署日,公司共有 5 名核心技术人员,具体情况如下:

 序号               姓名                              职务
  1        ZHAO HAIJUN(赵海军)            执行董事、联合首席执行官
  2                梁孟松                   执行董事、联合首席执行官
  3       ZHOU MEISHENG(周梅生)              技术研发执行副总裁
  4          ZHANG XIN(张昕)                运营与工程资深副总裁
  5                吴金刚                        技术研发副总裁

      1、ZHAO HAIJUN(赵海军)

      简历详见本节之“十、董事、高级管理人员与核心技术人员情况”之“(一)

董事简介”和“(二)高级管理人员简介”部分相关内容。

      2、梁孟松

      简历详见本节之“十、董事、高级管理人员与核心技术人员情况”之“(一)

董事简介”和“(二)高级管理人员简介”部分相关内容。

      3、ZHOU MEISHENG(周梅生)

      简历详见本节之“十、董事、高级管理人员与核心技术人员情况”之“(二)

高级管理人员简介”部分相关内容。

                                    113
中芯国际集成电路制造有限公司                                  招股意向书


    4、ZHANG XIN(张昕)

    ZHANG XIN(张昕),男,1965 年 2 月出生,新加坡国籍,硕士学位。1990

年至 2001 年先后担任中国计量科学研究院工程师、香港城市大学电子工程系助

教、特许半导体制造有限公司资深工程师,2001 年至 2010 年曾于台湾积体电路

制造股份有限公司美国代工厂、格罗方德半导体股份有限公司担任管理职务,

2010 年至今先后担任中芯国际先进制造技术资深总监、运营与工程资深副总裁。

    5、吴金刚

    吴金刚,男,1967 年 3 月出生,中国国籍,无境外永久居留权,博士学位。

1995 年至 2001 年就职于日本通产省工业技术研究院,2001 年至 2014 年,历任

中芯国际助理总监、总监、资深总监,2014 年至今担任中芯国际技术研发副总

裁。

(四)董事、高级管理人员及核心技术人员的兼职情况

    截至 2019 年 12 月 31 日,发行人董事、高级管理人员及核心技术人员在外

兼职(发行人控股子公司除外)情况如下:

       1、执行董事、高级管理人员及核心技术人员的兼职情况

         姓名                      兼职单位                兼职职务
                    江苏长电科技股份有限公司                董事长
        周子学      海信视像科技股份有限公司               独立董事
                    云南南天电子信息产业股份有限公司       独立董事
                    浙江巨化股份有限公司                     董事
  ZHAO HAIJUN
                    灿芯半导体(上海)有限公司              董事长
   (赵海军)
                    凸版中芯彩晶电子(上海)有限公司       副董事长
                    盛吉盛(宁波)半导体科技有限公司        董事长
                    中芯聚源股权投资管理(上海)有限公司    董事长
                    中芯聚源股权投资管理(北京)有限公司   执行董事
        高永岗      芯鑫融资租赁有限责任公司                 董事
                    江苏长电科技股份有限公司                 董事
                    宁波市集成电路产业基金管理有限公司      董事长
                    中芯集成电路(宁波)有限公司            董事长
ZHOU MEISHENG
                    上海集成电路制造创新中心有限公司         董事
  (周梅生)

                                      114
中芯国际集成电路制造有限公司                                      招股意向书


ZHANG XIN(张昕) 盛吉盛(宁波)半导体科技有限公司              董事

    其中江苏长电科技股份有限公司、盛吉盛(宁波)半导体科技有限公司、中

芯集成电路(宁波)有限公司、中芯聚源股权投资管理(上海)有限公司、芯鑫

融资租赁有限责任公司、灿芯半导体(上海)有限公司、凸版中芯彩晶电子(上

海)有限公司、上海集成电路制造创新中心有限公司为发行人直接或间接的参股

公司,中芯聚源股权投资管理(北京)有限公司、宁波市集成电路产业基金管理

有限公司为中芯聚源股权投资管理(上海)有限公司的直接参股公司,其他公司

与发行人不存在直接或间接持股关系。

    2、非执行董事兼职情况

     姓名                        兼职单位                     兼职职务
                 中国信息通信科技集团有限公司                   董事长
    童国华       大唐电信科技产业控股有限公司               执行董事、总裁
                 电信科学技术研究院有限公司                 董事长、总经理
                 电信科学技术研究院有限公司                   副总经理
                 中国信息通信科技集团有限公司                 副总经理
    陈山枝
                 烽火通信科技股份有限公司                       董事
                 大唐电信科技产业控股有限公司                 高级副总裁
                 华芯投资管理有限责任公司                     董事、总裁
                 长江存储科技有限责任公司                       董事
                 长江存储科技控股有限责任公司                   董事
                 武汉新芯集成电路制造有限公司                   董事
                 中国―比利时直接股权投资基金                   董事
     路军        国家集成电路产业投资基金股份有限公司           董事
                 国家集成电路产业投资基金二期股份有限公司       董事
                 国开金融有限责任公司                           副总裁
                 国开装备制造产业投资基金有限责任公司         执行董事
                 国开熔华产业投资基金管理有限责任公司       董事长、经理
                 芯鑫融资租赁有限责任公司                       董事
                 华芯投资管理有限责任公司                   董事、副总裁
                 上海硅产业集团股份有限公司                   副董事长
                 长江存储科技控股有限责任公司                   董事
     任凯        湖北紫光国器科技控股有限公司                   董事
                 湖北紫芯科技投资有限公司                       董事
                 长江存储科技有限责任公司                       董事
                 武汉新芯集成电路制造有限公司                   董事

                                        115
中芯国际集成电路制造有限公司                                  招股意向书


     姓名                               兼职单位          兼职职务
                 三安光电股份有限公司                       董事
                 江苏长电科技股份有限公司                   董事
                 上海芯铄投资管理有限公司                  董事长
                 福建省安芯投资管理有限责任公司            董事长
                 上海万业企业股份有限公司                 副董事长
     周杰        海通证券股份有限公司                      董事长

    其中电信科学技术研究院有限公司为发行人股东大唐香港的间接控股股东,

中国信息通信科技集团有限公司为发行人股东大唐香港的间接控股股东电信科

学技术研究院有限公司的全资股东;大唐电信科技产业控股有限公司为发行人股

东大唐香港的全资股东。

    国家集成电路产业投资基金股份有限公司为发行人股东鑫芯香港的间接控

股股东,国开金融有限责任公司为发行人股东鑫芯香港的间接控股股东国家集成

电路产业投资基金股份有限公司的股东。

    海通证券股份有限公司为公司本次科创板上市的联席保荐机构(主承销商);

江苏长电科技股份有限公司、芯鑫融资租赁有限责任公司为发行人直接或间接的

参股公司,其他公司与发行人不存在直接或间接持股关系。

    3、独立非执行董事兼职情况

      姓名                               兼职单位         兼职职务
                   友邦保险控股有限公司                 独立非执行董事
     刘遵义        中国海洋石油有限公司                 独立非执行董事
                   远传电信股份有限公司                    独立董事
WILLIAM TUDOR      联想集团有限公司                     独立非执行董事
    BROWN          Marvell Technology Group Ltd.        独立非执行董事
JINGSHENG JASON    Falcon Computing Solutions Inc.        董事会主席
 CONG(丛京生)    Inspirit IoT, Inc.                        董事
                   AsiaLink Capital Limited            主席兼董事总经理
                   中信资源控股有限公司                 独立非执行董事
                   统一企业中国控股有限公司             独立非执行董事
     范仁达
                   利民实业有限公司                     独立非执行董事
                   上海实业城市开发集团有限公司         独立非执行董事
                   国开国际投资有限公司                 独立非执行董事



                                            116
中芯国际集成电路制造有限公司                                         招股意向书


      姓名                        兼职单位                        兼职职务
                   同方泰德国际科技有限公司                 独立非执行董事
                   中国地利集团                             独立非执行董事
                   同方友友控股有限公司                     独立非执行董事
                   香港资源控股有限公司                     独立非执行董事
                   天福(开曼)控股有限公司                 独立非执行董事
                                                          非执行联合创始人、
  KWANG-LEEI       一一数位股份有限公司
                                                                  董事
YOUNG(杨光磊)
                   鼎恒数位科技股份有限公司                       独立董事

    上述公司与发行人不存直接或间接持股关系。

(五)董事、高级管理人员及核心技术人员相互之间的近亲属关系

    截至本招股意向书签署日,发行人董事、高级管理人员及核心技术人员之间

不存在近亲属关系。

(六)董事、高级管理人员及核心技术人员签订的重要协议及其履行情况

    截至本招股意向书签署日,发行人已与高级管理人员、核心技术人员签署了

劳动合同、保密协议。除上述协议外,发行人与董事、高级管理人员及核心技术

人员未签署重大的商务合同,未签订借款或者担保等任何其他协议。发行人未与

董事、高级管理人员及核心技术人员签订对投资者作出价值判断和投资决策有重

大影响的协议。

(七)董事、高级管理人员及核心技术人员最近 2 年内变动情况

    1、董事的变动情况

    最近 2 年内,发行人董事的变动情况如下:

   时间                        董事                          任免程序
                                                     董事会决议、2019 年股东周
             刘遵义、范仁达上任独立非执行董事
                                                     年大会决议
  2018.06    LIP-BU TAN ( 陈 立 武 )、 I-HUA CARMEN
             CHANG(周一华)不再担任独立非执行董事; -
             邱慈云不再担任非执行董事兼副董事长;
  2019.06    蒋尚义不再担任独立非执行董事            -
             KWANG-LEEI YOUNG(杨光磊)上任独立非
  2019.08                                            董事会决议
             执行董事



                                      117
中芯国际集成电路制造有限公司                                                   招股意向书


    近 2 年内,发行人的董事未发生重大不利变化。

    2、高级管理人员的变动情况

    最近 2 年内,发行人高级管理人员的变动情况如下:

      时间                                       高级管理人员
     2018.02          TIAN-SHEN TANG(汤天申)不再担任设计服务执行副总裁
     2018.12          因职级调整,李智不再担任高级管理人员

    近 2 年内,发行人的高级管理人员未发生重大不利变化。

    3、最近 2 年内核心技术人员的变动情况

    最近 2 年内核心技术人员未发生变动。

(八)董事、高级管理人员及核心技术人员的对外投资情况

    截至 2019 年 12 月 31 日,发行人董事、高级管理人员及核心技术人员的对

外投资情况如下:

    姓名          在公司现任职务          投资企业名称         持股比例      与发行人关系
                                   烽火通信科技股份有限
   童国华           非执行董事                                  0.0148%         关联方
                                   公司
 JINGSHENG                         Falcon          Computing
                                                                      16%         无
JASON CONG        独立非执行董事   Solutions Inc.
  (丛京生)                       Inspirit IoT, Inc.                3.09%        无
   范仁达         独立非执行董事   AsiaLink Capital Limited          100%         无
KWANG-LEEI
   YOUNG          独立非执行董事   一一数位股份有限公司               10%         无
 (杨光磊)

    发行人董事、高级管理人员及核心技术人员的上述对外投资与发行人不存在

利益冲突。

(九)董事、高级管理人员、核心技术人员及其近亲属持有发行人股份情况

    截至 2019 年 12 月 31 日,发行人董事、高级管理人员、核心技术人员及其

近亲属持有发行人权益的情况如下:

                                                                      尚未行权的股权激励
           姓名                    职务             普通股(股)
                                                                      对应普通股股数(股)
       周子学               董事长、执行董事                     -               3,601,661


                                           118
中芯国际集成电路制造有限公司                                            招股意向书

                                                                尚未行权的股权激励
          姓名                   职务            普通股(股)
                                                                对应普通股股数(股)
                        执行董事、联合首席执
ZHAO HAIJUN(赵海军)                                    163              1,875,733
                                行官
                        执行董事、联合首席执
         梁孟松                                            -                      -
                                行官
                        执行董事、首席财务官、
         高永岗         执行副总裁兼联席公司               -              1,734,977
                                秘书
         童国华                非执行董事                  -                375,000
         陈山枝                非执行董事                  -              1,014,843
          路军                 非执行董事                  -                      -
          任凯                 非执行董事                  -                      -
          周杰                 非执行董事                  -                      -
        刘遵义              独立非执行董事                 -                375,000
   WILLIAM TUDOR
                            独立非执行董事                 -                300,000
       BROWN
  JINGSHENG JASON
                            独立非执行董事           123,750                251,250
   CONG(丛京生)
     范仁达                 独立非执行董事                 -                375,000
KWANG-LEEI YOUNG
                            独立非执行董事                 -                375,000
   (杨光磊)
 ZHOU MEISHENG
                          技术研发执行副总裁               -                      -
   (周梅生)
 ZHANG XIN(张昕)       运营与工程资深副总裁              -                342,358
         吴金刚             技术研发副总裁                 -                171,050
注:股权激励包括《2004 年购股权计划》《2014 年购股权计划》及《2014 年以股支薪奖励
计划》

(十)董事、高级管理人员、核心技术人员的薪酬情况

       1、董事、高级管理人员及核心技术人员的薪酬组成、确定依据、所履行的

程序

    公司董事、高级管理人员及核心技术人员的薪酬主要由工资、奖金及股权激

励费用构成。

    公司设立了董事会薪酬委员会,并制定了《薪酬委员会章程》。董事会薪酬

委员会的主要职责包括为公司执行董事、高级管理人员及核心技术人员拟定薪酬

方案,并向董事会建议非执行董事的薪酬。薪酬委员会将考虑相关人员的工作职

责、工作投入时间和承担的责任、社会相关岗位的薪酬水平等因素制定薪酬方案。



                                        119
中芯国际集成电路制造有限公司                                     招股意向书


董事、高级管理人员及核心技术人员的薪酬方案均按照公司治理制度履行了相应

的内部审议程序。

    除上述收入外,公司现任董事、高级管理人员及核心技术人员未在公司享受

其他待遇和退休金计划。

    2、董事、高级管理人员及核心技术人员的薪酬情况

    报告期内,公司董事、高级管理人员及核心技术人员在公司领取的税前薪酬

(包括工资、奖金及股权激励费用)情况如下:

                                                                 单位:万元
       项目                2019 年度         2018 年度        2017 年度
    工资、奖金                   5,073.93          5,408.79         6,796.49
   股权激励费用                    665.87          1,835.92         5,970.59
     薪酬合计                    5,739.81          7,244.72        12,767.08
   当期利润总额                142,699.73         45,638.91        91,381.43
占当期利润总额比例                 4.02%           15.87%           13.97%

    报告期内,董事、高级管理人员及核心人员的薪酬合计金额存在一定波动,

主要系股权激励费用的变化以及部分董事、高级管理人员在报告期内退任所致。

(十一)保荐机构、发行人律师就非执行董事、独立非执行董事授权签署的真

实性及合规性所发表的明确意见

    发行人部分非执行董事、独立非执行董事在国外居住,现阶段由于疫情等原

因难以回国。为提高发行人本次发行上市相关申报文件的签署效率,发行人全体

非执行董事、独立非执行董事签署了《声明及授权书》,授权发行人执行董事高

永岗先生代表其签署在本次发行上市申报、审核及实施过程中需要由其本人以公

司董事身份签署的一切文件,签字真实有效。

    根据《证券法》第八十二条,―发行人的董事……应当对证券发行文件和定

期报告签署书面确认意见。……发行人的董事……应当保证发行人……所披露的

信息真实、准确、完整。‖根据《公开发行证券的公司信息披露内容与格式准则

第 41 号——科创板公司招股说明书》第九十八条,―发行人全体董事……应在招



                                       120
中芯国际集成电路制造有限公司                                    招股意向书


股说明书正文的尾页声明,……声明应由全体董事……签名,并由发行人加盖公

章。‖但是前述法律法规并未明确禁止发行人董事授权其他董事代其签署招股说

明书或招股意向书中的声明。此外,根据《声明及授权书》项下的特别承诺,各

委托人均确认包括招股说明书在内的公司本次发行上市全套申请文件(包括不时

做出的修订)不存在虚假记载、误导性陈述或重大遗漏,并对其真实性、准确性、

完整性承担个别和连带的法律责任。据此,有关非执行董事、独立非执行董事授

权执行董事高永岗先生代表其签署招股说明书及招股意向书等发行文件不违反

适用法律法规的禁止性规定,该等非执行董事、独立非执行董事仍须对招股说明

书及招股意向书等发行文件的真实性、准确性、完整性承担个别和连带的法律责

任。

    经核查,保荐机构、发行人律师认为:

    现有《声明及授权书》上有关非执行董事、独立非执行董事的签字真实有效;

发行人相关非执行董事、独立非执行董事授权执行董事高永岗先生代表其签署招

招股说明书及招股意向书等发行文件不违反适用法律法规的禁止性规定,该等非

执行董事、独立非执行董事仍须对招股说明书及招股意向书等发行文件的真实性、

准确性、完整性承担个别和连带的法律责任。


十一、公司正在执行的股权激励及其他制度安排和执行情况

    截至 2019 年 12 月 31 日,中芯国际目前存续的股权激励包括:《2004 年购

股权计划》《2014 年购股权计划》和《2014 年以股支薪奖励计划》,其中,尚可

授予的购股权/受限制股份单位对应的普通股为 318,161,349 股,占 2019 年 12 月

31 日已发行普通股的 6.29%;已授予尚未行权的购股权/受限制股份单位对应普

通股为 49,162,543 股,占 2019 年 12 月 31 日已发行普通股的 0.97%,具体情况

参见本节之“三、公司报告期内的股本、股份和股东变化情况”之“(三)股权

激励情况”。




                                   121
中芯国际集成电路制造有限公司                                                  招股意向书


十二、公司员工及其社会保障情况

(一)公司员工情况

    1、员工数量

    报告期各期末,本公司的员工总数如下:

                    日期                                     员工数量(人)
           2017 年 12 月 31 日                                                    17,728
           2018 年 12 月 31 日                                                    17,671
           2019 年 12 月 31 日                                                    15,795
注 1:公司于 2019 年 7 月将子公司 LFoundry 对外转让,于 2019 年 9 月将旗下幼儿园均捐
赠,2019 年末员工总数不包含 LFoundry 及幼儿园员工数量,2018 年末员工总数包括
LFoundry 员工数量 1,463 人、幼儿园员工数量 301 人
注 2:公司对少部分临时性、辅助性或者替代性的工作岗位进行劳务外包,包括保安、保洁
员、辅助搬运工等

    2、员工构成

    (1)职能构成情况

    截至 2019 年 12 月 31 日,本公司共有员工 15,795 人。按职能划分的具体构

成情况如下:

           职能                   员工数量(人)                      员工占比
         管理人员                                    1,867                        11.82%
         销售人员                                     193                          1.22%
         研发人员                                    2,530                        16.02%
         生产人员                                   11,205                        70.94%
           总计                                     15,795                       100.00%

    (2)学历构成情况

    截至 2019 年 12 月 31 日,本公司员工按学历划分的具体构成情况如下:

           学历                   员工数量(人)                      员工占比
           博士                                       281                          1.77%
           硕士                                      2,961                        18.75%
           本科                                      5,027                        31.83%
       大专及以下                                    7,526                        47.65%


                                        122
中芯国际集成电路制造有限公司                                                 招股意向书


             学历                 员工数量(人)                      员工占比
             总计                                 15,795                         100.00%

    (3)年龄构成情况

    截至 2019 年 12 月 31 日,本公司员工按年龄划分的具体构成情况如下:

             年龄                 员工数量(人)                      员工占比
        30 岁以下                                   8,901                         56.35%
       31 至 40 岁                                  5,437                         34.42%
       41 至 50 岁                                  1,180                          7.47%
        50 岁以上                                    277                           1.75%
             总计                                 15,795                         100.00%

(二)公司执行社会保障、住房公积金制度的情况

    公司已为境内控股子公司的员工办理了养老保险、医疗保险、失业保险、工

伤保险、生育保险及住房公积金。

    1、社会保险及住房公积金缴纳的基本情况

    公司按照国家和地方有关社会保障的法律、法规为境内控股子公司符合条件

的员工办理及缴纳了医疗保险、养老保险、失业保险、工伤保险、生育保险等社

会保险及住房公积金。

    报告期内,公司为员工缴纳社会保险情况如下:

         项目             2019 年 12 月 31 日   2018 年 12 月 31 日   2017 年 12 月 31 日
员工总人数                            15,795                17,671                17,728
已缴纳人数                            15,213                15,567                15,441
已缴纳人数占比                       96.32%                 88.09%                87.10%
未缴纳人数                               582                 2,104                 2,287
未缴纳人数占比                         3.68%                11.91%                12.90%
注:2017、2018 年末未缴纳社保、公积金人数含意大利子公司 LFoundry 人数

    报告期内,公司为员工缴纳住房公积金的情况如下:

         项目             2019 年 12 月 31 日   2018 年 12 月 31 日   2017 年 12 月 31 日
员工总人数                            15,795                17,671                17,728
已缴纳人数                            13,982                14,278                13,367



                                        123
中芯国际集成电路制造有限公司                                                 招股意向书


         项目             2019 年 12 月 31 日   2018 年 12 月 31 日   2017 年 12 月 31 日
已缴纳人数占比                       88.52%                80.80%                75.40%
未缴纳人数                             1,813                 3,393                 4,361
未缴纳人数占比                       11.48%                19.20%                24.60%
注:2017、2018 年末未缴纳社保、公积金人数含意大利子公司 LFoundry 人数

    2、报告期内少量员工未缴纳社会保险和住房公积金的原因

    报告期内少数员工未缴纳社会保险和住房公积金的主要原因如下:

    (1)境外子公司员工不缴纳社会保险和住房公积金;

    (2)港澳台和外籍员工不缴纳社会保险和住房公积金,公司为其缴纳商业

保险和提供集体宿舍;

    (3)部分新入职员工的社会保险和住房公积金缴纳手续在当月社会保险和

住房公积金的申报时点尚未办理完成;

    (4)退休返聘人员不缴纳社会保险和住房公积金;

    (5)部分农业户籍人员(上海)不缴纳公积金,公司为其提供集体宿舍。

    3、合规证明情况

    截至 2019 年 12 月 31 日,根据公司相关境内控股子公司所在地社会保险事

业管理中心出具的证明,公司各境内控股子公司已办理社会保险登记,并依法为

其员工缴纳了各项社会保险金,不存在违反劳动和社会保障法律、法规和规范性

文件的情形,没有涉及任何与劳动和社会保障有关的重大违法行为。

    截至 2019 年 12 月 31 日,根据公司相关境内控股子公司所在地住房公积金

管理中心出具的证明,公司各境内控股子公司已开立住房公积金账户并依法为其

员工缴纳住房公积金,不存在违反住房公积金法律、法规和规范性文件的情形,

没有涉及任何与住房公积金有关的处罚记录。




                                        124
中芯国际集成电路制造有限公司                                   招股意向书



                          第六节 业务与技术

一、公司主营业务、主要产品的基本情况

(一)主营业务

    中芯国际是全球领先的集成电路晶圆代工企业之一,也是中国大陆技术最先
进、规模最大、配套服务最完善、跨国经营的专业晶圆代工企业,主要为客户提
供 0.35 微米至 14 纳米多种技术节点、不同工艺平台的集成电路晶圆代工及配套
服务。

    在逻辑工艺领域,中芯国际是中国大陆第一家实现 14 纳米 FinFET 量产的
集成电路晶圆代工企业,代表中国大陆自主研发集成电路制造技术的最先进水平;
在特色工艺领域,中芯国际陆续推出中国大陆最先进的 24 纳米 NAND、40 纳米
高性能图像传感器等特色工艺,与各领域的龙头公司合作,实现在特殊存储器、
高性能图像传感器等细分市场的持续增长。

    除集成电路晶圆代工外,中芯国际亦致力于打造平台式的生态服务模式,为
客户提供设计服务与 IP 支持、光掩模制造、凸块加工及测试等一站式配套服务,
并促进集成电路产业链的上下游合作,与产业链各环节的合作伙伴一同为客户提
供全方位的集成电路解决方案。

    中芯国际拥有立足中国的制造基地与辐射全球的服务网络。公司在中国上海、
北京、天津和深圳拥有多个 8 英寸和 12 英寸生产基地,为境内外客户提供高品
质的服务。截至 2019 年末,上述生产基地的产能合计达每月 45 万片晶圆(约当
8 英寸)。除中国大陆外,公司亦在美国、欧洲、日本和中国台湾设立了市场推
广办公室,在中国香港设立了代表处,为全球客户提供优质的服务。

    中芯国际依靠卓越的研发技术实力、强大的生产制造能力、完善的配套服务
体系、丰富的市场实践经验,形成了明显的品牌效应,获得了良好的行业认知度,
积累了广泛的境内外客户资源。公司与近半数的 2018 年世界前 50 名知名集成电
路设计公司和系统厂商开展了深度合作,持续赢得客户的肯定和赞誉。未来,公
司将继续坚持国际化战略,加强技术研发,巩固发展优势,提升生产制造能力,


                                  125
中芯国际集成电路制造有限公司                                  招股意向书

致力于“成为优质、创新、值得信赖的国际一流集成电路制造企业”。


(二)主要产品和服务

    中芯国际主要为客户提供基于多种技术节点、不同工艺平台的集成电路晶圆
代工及配套服务,并促进集成电路产业链的上下游合作,与产业链各环节的合作
伙伴一同为客户提供全方位的集成电路解决方案。




    1、集成电路晶圆代工

    中芯国际集成电路晶圆代工业务系以 8 英寸或 12 英寸的晶圆为基础,运用
数百种专用设备和材料,基于精心设计的工艺整合方案,经上千道工艺步骤,在
晶圆上构建复杂精密的物理结构,实现客户设计的电路图形及功能。其中,晶圆
作为集成电路的衬底,其材料包括硅,锗,砷化镓,磷化铟等,目前硅最为常用。

    中芯国际成功开发了 0.35 微米至 14 纳米多种技术节点,应用于不同工艺平
台,具备逻辑电路、电源/模拟、高压驱动、嵌入式非挥发性存储、非易失性存
储、混合信号/射频、图像传感器等多个工艺平台的量产能力,可为客户提供通
讯产品、消费品、汽车、工业、计算机等不同终端应用领域的集成电路晶圆代工
及配套服务。

    其中,技术节点以晶体管之间的线宽为代表,是衡量集成电路制造工艺水平
的主要指标。线宽指晶圆上制造集成电路的工艺可达到的最小沟道宽度,以
CMOS 工艺为例,其线宽一般为该工艺制作的晶体管的栅极长度。详细的工艺流



                                  126
中芯国际集成电路制造有限公司                                   招股意向书

程参见本节之“一、公司主营业务、主要产品的基本情况”之“(六)主要产品、
服务的工艺流程图”。




    2、配套服务

    中芯国际围绕集成电路晶圆代工业务,亦为客户提供相关设计服务与 IP 支
持、光掩模制造、凸块加工及测试等配套服务:

    ①设计服务与 IP 支持

    紧贴公司集成电路晶圆代工业务,中芯国际为客户提供自研 IP、第三方 IP、
参考设计流程和设计支持等多种服务,满足客户对各种 IP 及芯片设计的需求。

    在设计服务方面,中芯国际主要为客户提供后端版图设计、布局布线设计、
基于不同 EDA 设计环境的参考设计流程等服务,帮助客户快速完成产品设计,
导入量产;同时,公司为客户提供测试芯片的全流程设计服务,以支持公司的工
艺开发和客户的新产品流片。

    在 IP 支持方面,中芯国际可以为客户提供上千种高规格的单元库和 IP 模块,
涵盖模拟混合信号、高速接口、嵌入式处理器、嵌入式非挥发性存储和射频等众
多 IP 类型,适用于公司 0.35 微米至 14 纳米技术节点,在减少客户设计时间的
同时,加强其设计方案与公司集成电路晶圆代工技术的匹配程度。

    此外,中芯国际已联合多家合作紧密的 EDA 厂商、设计服务企业和第三方
IP 供应商,为客户提供从演示系统参考到整个系统级芯片定制的一站式设计与
流片服务。




                                  127
 中芯国际集成电路制造有限公司                                                   招股意向书

       ②光掩模制造

       光掩模是集成电路制造过程中的关键部件,光掩模上承载有完整的集成电路
 设计图形,并通过光刻工艺将集成电路设计图形转移到晶圆上。光掩模的性能和
 制造能力直接影响光刻工艺的质量。

       中芯国际拥有目前中国大陆最大、最先进的光掩模制造设施,可生产 0.35
 微米到 14 纳米各技术节点的光掩模产品,亦可为客户提供二元掩模、相移掩模、
 邻近效应矫正掩模等多种光掩模品种。

       ③凸块加工及测试

       凸块加工服务指在 8 英寸或 12 英寸晶圆的基础上,通过高精密曝光、离子
 处理、电镀等步骤,在晶圆上实现集成电路的重布线和凸块生产。

       中芯长电成功开发并大规模提供配套 0.35 微米至 14 纳米工艺所需的高密度
 中段凸块加工服务,同时,其拥有先进的晶圆级及系统级测试运营基地,为客户
 提供高良率、高可靠性的晶圆测试服务。


 (三)主营业务收入的主要构成

       集成电路晶圆代工是公司主营业务收入的主要来源,报告期内占主营业务收
 入的比例分别为 95.94%、89.30%及 93.12%。公司其他主营业务收入主要为光掩
 模制造、凸块加工及测试等配套服务,报告期内合计占主营业务收入的比例分别
 为 4.06%、10.70%及 6.88%。

       报告期内,公司主营业务收入的主要构成如下:

                         2019 年度                 2018 年度                 2017 年度
       项目
                   金额(万元)    比例      金额(万元)    比例      金额(万元)      比例
集成电路晶圆代工   1,999,379.30    93.12% 2,012,814.34       89.30%    2,012,943.61   95.94%
光掩模制造            71,464.46      3.33%      61,568.85      2.73%     59,510.66       2.84%
凸块加工及测试        47,623.52      2.22%      15,941.00      0.71%     11,224.32       0.53%
其他                  28,530.42      1.33%     163,745.72      7.26%     14,486.32       0.69%
       合计        2,146,997.70   100.00% 2,254,069.90      100.00%    2,098,164.91 100.00%




                                             128
中芯国际集成电路制造有限公司                                  招股意向书


(四)公司的主要经营模式

    公司的经营模式为 Foundry 模式,又称晶圆代工模式,其专注为客户在晶圆
上制造集成电路,并提供相关的配套服务。行业经营模式参见本节之“二、公司
所处行业的情况”之“(三)行业发展态势及未来趋势”之“2、集成电路制造行
业发展概况”。

    1、盈利模式

    公司主要从事基于多种技术节点的、不同技术平台的集成电路晶圆代工业务,
以及设计服务与 IP 支持、光掩模制造、凸块加工及测试等配套服务,从而实现
收入和利润。

    2、研发模式

    公司采取“市场为导向,瞄准世界先进的产品制程技术”的研发战略,形成
了完整、高效的创新机制,建立了完善的研发流程管理制度。研发模式详见本节
之“七、公司核心技术与科研、研发情况”之“(五)保持技术创新的机制及技
术创新安排”。

    3、采购模式

   (1)采购管理体系

    公司主要向供应商采购集成电路晶圆代工及配套服务所需的物料、设备及技
术服务等。为提高生产效率、减少库存囤积、加强成本控制,公司建立了采购管
理体系,其主要物料及设备的采购流程如下:




                                  129
中芯国际集成电路制造有限公司                                  招股意向书

    ①采购申请流程

    物料:物料规划部门根据生产计划部门定期提供的生产计划,核对原材料的
库存量并结合各种原材料不同的交货周期,确定需要购买的品种和数量,并综合
考虑订货批量、生产周期、运输方式等,编制采购申请计划,发起采购申请流程。

    设备及技术服务:产能规划部门和生产部门根据扩产计划和年度预算确定机
台数量、型号以及所需服务,生产部门与合格供应商签订规格确认书,确定所需
机台与服务的详细要求及技术规格参数,经过采购委员会审核通过后,发起采购
申请流程。

    ②采购流程

    物料:采购申请流程审批生效且采购信息经确认无误后,采购部门对合格供
应商目录中的供应商进行询价并开展价格谈判,结合价格、品质、交期等因素,
针对每种物料,选择一家或多家供应商,出具采购订单,并呈报相应的主管核准。

    物料规划部门根据生产计划进行订单跟踪,安排交货计划,及时了解供应商
的生产进度,并实时监控原材料的交付情况以及实际消耗量,以便在发现任何异
常情况时能够及时调整。若生产计划发生变更,物料规划部门会重新审视需求计
划,及时对订单的到货计划进行调整,确保物料规划的合理性和安全性。

    设备及技术服务:采购申请流程审批生效且采购信息经确认无误后,采购部
门对合格供应商进行询价并开展价格谈判,结合价格、品质、交期等因素,出具
采购订单,并呈报相应的主管核准。采购部门进行订单跟踪,及时了解供应商的
生产进度,通知物流部门安排提货、进口清关和送货到厂。

    ③验收流程

    物料:供应商按计划交货并提供物料检验规格书,仓储部门按订单点验收货,
并由质量检验部门进行原物料规格的核对和抽样检验,检验合格后方可入库,并
由财务部门匹配发票后按照订单约定的付款方式安排付款。若发生检验不合格的
情况,质检部门将启动退货流程,由采购部门处理退货事宜。同时,质检部门会
要求厂商进行质量问题分析并限期提供改善方案。

    设备及技术服务:设备到厂后,生产部门点收货物后,根据与供应商签订的

                                  130
中芯国际集成电路制造有限公司                                   招股意向书

规格确认书进行验收,并在收货验收系统获得核准。

    ④合理库存储备

    在上述采购管理体系的执行过程中,公司还建立了物料安全库存储备机制,
通过自建仓库、供应商提供外库等方式形成有效的供应储备。同时,公司分布在
各地的工厂和仓库从产能备份和物料存储上相互支持,通过地域差异降低了集中
存储的风险。

   (2)供应商管理和供应链安全体系

   公司拥有成熟的供应商管理体系与完善的供应链安全体系,建立了供应商准
入机制、供应商考核与评价机制及供应商能力发展与提升机制,在与主要供应商
保持长期稳定合作关系的同时,兼顾新供应商的导入与培养,加强供应链的稳定
与安全。

    ①供应商准入机制

    公司建立了完善的供应商准入机制,由质量保证部门、采购部门、生产部门
等分别从产品质量管理、价格/物流/售后服务管理、环保/安全/健康管理等方面
给予综合评估,从不同的维度对供应商的能力进行认证,确保供应商在各个方面
均符合公司所处行业的严格规范和要求。

    供应商通过上述评估、满足相关资质要求且样品测试、小量试产、批量试产
均通过后,方可被纳入公司的合格供应商名录。

    ②供应商考核与评价机制

    为降低供应链风险,公司建立了完善的供应商考核与评价机制。

    对与公司发生业务往来的合格供应商,公司的质量保证部门、采购部门以及
使用部门组成考核评价团队,分别从质量、成本、交货、服务及安全环保等方面
定期对其进行考核评价,并将考核评估结果通知供应商。

    ③供应商能力发展与提升机制

    公司重视与供应商的共同成长,建立了供应商能力发展与提升机制。



                                 131
中芯国际集成电路制造有限公司                                   招股意向书

    在供应商定期考核与评估后,对于评估审核结果未达标的项目,公司会要求
供应商提供改善计划并在一定期限内进行改善。公司质量保证部门、采购部门等
针对上述供应商的评估审核结果和需要改善事项定期进行追踪回顾,指导和监督
其在产品交付能力、技术服务能力、合约履行能力以及产品在线质量水平等方面
的改善结果,使其综合能力在与公司的合作过程中得到快速发展与提升。

    评估结果与后续改善结果在一定程度上决定了供应商的供应份额,公司通过
引入透明的供应商评估考核机制、能力提升机制以及相互竞争的激励机制,构建
了良性循环的供应链共同发展模式。

    ④稳定且多元的采购渠道

    公司通过与供应商签署长期采购合约以维持良好的供需合作关系,确保合理
的供应价格和稳定的供应数量。为降低供应链风险,公司建立了供应渠道多元化
的持续改善机制。公司通过持续推介、上线验证、时时监控、定期评估等方式,
有计划地导入新的供应商,减少单一供应商对生产活动造成的影响。

    为有效缩短供应周期与减少对关键供应商的依赖,公司在与国际供应商合作
的同时,重视供应链国产化的推动及本土供应商的培养。公司采用国际化的标准
提升国内供应商的技术能力和供应能力,通过彼此协同发展,逐步在国内建立了
完整的供应链,形成了稳定且多元的采购渠道。

    4、生产模式

    公司根据客户订单规划产能,并按计划进行投产,具体如下:

    (1)生产阶段

    ①小批量试产:客户按照公司提供的设计规则进行产品设计。设计完成后,
公司根据客户的产品要求进行小批量试产。

    ②风险量产:小批量试产后的样品经封装测试、功能验证等环节,如符合市
场要求,则进入风险量产阶段。风险量产阶段主要包括产品良率提升、生产工艺
能力提升、生产产能拓展等。




                                   132
中芯国际集成电路制造有限公司                                 招股意向书

    ③大批量生产:风险量产阶段完成且上述各项交付指标达标后,进入大批量
生产阶段。在大批量生产阶段,销售部门与客户确认采购订单量。生产计划部门
根据客户订单需求安排生产、跟踪生产进度并向客户提供生产进度报告。

   (2)生产流程

    公司产品从生产策划到成品出库主要经过四个阶段,分别为生产策划阶段、
生产准备阶段、生产过程管理阶段以及产品入库阶段,具体情况如下:




    ①生产策划阶段

    在生产策划阶段,销售部门提供从客户处获取的未来的业务预测以及与客户
达成的商业计划,生产计划部门根据客户需求、客户订单与产能和工艺技术准备
情况,制定主生产计划。

    ②生产准备阶段

    在生产准备阶段,物料规划部门根据主生产计划制定原材料计划并协同采购
部门及时准备原材料。生产计划部门根据主生产计划及原材料计划制定投产计划。

    ③生产过程管理阶段

    在生产过程管理阶段,生产部门根据主生产计划及投产计划安排和管理生产,
生产计划部门监督生产周期、生产进度,产量等指标,品质管控部门负责产品的
质量管控。

    ④产品入库阶段

                                 133
中芯国际集成电路制造有限公司                                   招股意向书

    在产品入库阶段,完成全部生产流程的产品经检验合格后入库。

    5、营销及销售模式

   (1)营销模式

    公司采用多种营销方式,积极通过各种渠道拓展客户,具体如下:

   ①公司通过市场研究,主动联系并拜访目标客户,推介与客户匹配的工艺和
服务,进而展开一系列的客户拓展活动;

   ②公司通过与设计服务公司、IP 供应商、EDA 厂商、封装测试厂商、行业
协会及各集成电路产业促进中心合作,与客户建立合作关系;

   ③公司通过主办技术研讨会等活动或参与半导体行业各种专业会展、峰会、
论坛进行推广活动并获取客户;

   ④部分客户通过公司网站、口碑传播等公开渠道联系公司寻求直接合作。

   (2)销售模式

    公司采用直销模式开展销售业务。公司通过上述营销方式与客户建立合作关
系后,将与客户直接沟通并形成符合客户需求的解决方案。

    公司销售团队与客户签订订单,并根据订单要求提供集成电路晶圆代工以及
相关配套服务,制作完成的产品最终将被发货至客户或其指定的下游封装、测试
厂商。销售流程如下:




    ①制定销售计划


                                 134
中芯国际集成电路制造有限公司                                  招股意向书

    销售部门结合市场信息与客户需求,在考虑工艺开发计划、产能安排情况、
外在竞争与自身资源等因素后,拟订公司季度和年度的销售计划。

    ②处理订单和签订合同

    销售人员根据客户需求将销售主管核准的报价单与预计交货时间提供给客
户,客户通过邮件或传真等方式向销售人员下达订单。

    公司建立了客户信用管理制度,公司客户均为授信客户,只有满足授信额度
的客户,公司才予以下单,授信额度由财务部风险控制人员审核管控。一般情况
下,销售人员根据客户的具体情况及市场的趋势提出额度和账期申请,公司财务
风险控制部门审核、授信并确定账期。

    销售人员收到客户订单后,根据客户的授信额度在公司 IT 系统内录入相关
订单信息。公司建立了完善的 IT 系统审批流程,客户的订单信息会在 IT 系统内
自动提交至生产运营部。

    ③生产制造

    生产运营部接收到客户订单后安排生产并生成产品交货日期。公司根据客户
的要求通过系统或者邮件的方式及时告知客户产品的生产状态。

    ④发货与收款开票

    产品生产完毕后由客户服务人员根据客户要求安排发货至指定地点。客户服
务人员按照发货单生成发票,并将发票寄送给客户。财务部在收到客户的汇款通
知或票据时,通知销售部门确认款项,完成相关账务处理。

    6、公司采用目前经营模式的原因、影响经营模式的关键因素以及经营模式
和影响因素在报告期内的变化情况及未来变化趋势

    公司结合市场供需情况、上下游发展状况、公司主营业务、主要产品、核心
技术、自身发展阶段等因素,形成了目前的晶圆代工模式。报告期内,上述影响
公司经营模式的关键因素未发生重大变化,预计未来亦不会发生重大变化。




                                  135
中芯国际集成电路制造有限公司                                   招股意向书


(五)设立以来主营业务、主要产品及服务、主要经营模式的演变情况

    1、主营业务的变化情况

    公司自设立以来,一直以晶圆代工模式从事集成电路制造业务。公司的主营
业务、主要产品及主要经营模式未发生重大变化。公司主营业务的发展主要经历
以下阶段:

    (1)奠基时期:2000 年~2004 年

    2000 年,公司在上海浦东开工建设,是中国大陆第一家提供 0.18 微米技术
节点的集成电路晶圆代工企业。

    2001 年,公司建设完成上海 8 英寸生产基地;2002 年,公司实现 0.18 微米
的全面技术认证和量产。同年,公司北京 12 英寸生产基地举行奠基仪式。

    2003 年,公司收购天津摩托罗拉晶圆厂并成立中芯天津。公司陆续实现 0.35
微米~0.13 微米的全面技术认证和量产,标志着公司集成电路晶圆代工技术完成
初步积累。2004 年,公司首次实现盈利并于香港联交所与美国纽交所上市。

    (2)积累时期:2004 年~2015 年

    2004 年起,公司北京 12 英寸生产基地逐步投入生产。12 英寸集成电路晶圆
代工业务的成功落地是公司发展过程中的重要里程碑,标志着公司成为 8 英寸和
12 英寸集成电路晶圆代工业务兼备的企业。

    2005 年,公司年度营业收入首次突破 10 亿美元,并分别在 2006 年、2009
年、2011 年顺利实现 90 纳米、65/55 纳米、45/40 纳米的升级和量产,技术服务
能力实现跨越式提升。2013 年,公司年度营业收入首次突破 20 亿美元。

    (3)高速发展时期:2015 年至今

    2015 年,公司成为中国大陆第一家实现 28 纳米量产的企业,实现中国大陆
高端芯片零生产的突破,公司进入战略调整后的高速发展时期,并分别在上海、
北京、天津和深圳启动生产基地的新建和扩建。

    2017 年,公司年度营业收入首次突破 30 亿美元。2019 年,公司取得重大进



                                     136
中芯国际集成电路制造有限公司                                  招股意向书

展,实现 14 纳米 FinFET 量产,第二代 FinFET 技术进入客户导入阶段。

    时至今日,公司已成为全球领先的集成电路晶圆代工企业之一,为满足境内
外客户日益严格的生产要求,公司进一步建立了大数据库,依托人工智能及智慧
学习系统,及时发现影响公司生产周期、生产质量及成品良率的关键因素,不断
提升生产制造能力。未来,公司将坚持国际化战略,保持先进技术研发,进一步
提升核心竞争力。

    2、主要产品及服务的变化情况

    中芯国际自设立以来始终以晶圆代工模式从事集成电路制造业务,并衍生出
相关的配套服务。同时,公司根据市场需求与技术发展方向,加快技术和服务的
迭代更新,不断推出不同技术节点的工艺平台,保持全球领先的技术研发优势。

    公司关键技术节点的量产时间如下图所示:




    3、主要经营模式的变化情况

    公司自设立以来一直采用晶圆代工模式,主要经营模式未发生变化。


(六)主要产品、服务的工艺流程图

   公司主要以晶圆代工模式从事集成电路制造业务,主要工艺流程如下:




                                   137
中芯国际集成电路制造有限公司                                  招股意向书




    集成电路晶圆代工指以 8 英寸或 12 英寸的晶圆为原材料,借助载有电路信
息的光掩模,运用光刻和刻蚀等工艺流程,将客户要求的电路布图集成于晶圆上。

    上述过程中,晶圆经过光刻和刻蚀等工艺流程的多次循环,逐层集成,并经
离子注入、退火、扩散、化学气相沉积、物理气相沉积、化学机械研磨等流程,
最终在晶圆上实现特定的集成电路结构。主要流程如下:

    1、晶圆清洗、热氧化

    晶圆的清洗是指通过将晶圆沉浸在不同的清洗药剂内或通过喷头将调配好
的清洗液药剂喷射于晶圆表面进行清洗,再通过超纯水进行二次清洗,以去除晶
圆表面的杂质颗粒和残留物,确保后续工艺步骤的准确进行。

    晶圆的热氧化是指在 800℃~1,150℃的高温下,用热氧化方法在其表面形成
二氧化硅薄膜。



                                  138
中芯国际集成电路制造有限公司                                 招股意向书

    2、光刻

    光刻的主要环节包括涂胶、曝光与显影。

    涂胶是指通过旋转晶圆的方式在晶圆上形成一层光刻胶;

    曝光是指先将光掩模上的图形与晶圆上的图形对准,然后用特定的光照射。
光能激活光刻胶中的光敏成分,从而将光掩模上的电路图形转移到光刻胶上;

    显影是用显影液溶解曝光后光刻胶中的可溶解部分,将光掩模上的图形准确
地用晶圆上的光刻胶图形显现出来。

    3、刻蚀

    刻蚀主要分为干法刻蚀和湿法刻蚀,指未被光刻胶覆盖的材料被选择性去除
的过程。

    干法刻蚀主要利用等离子体对特定物质进行刻蚀。湿法刻蚀主要通过液态化
学品对特定物质进行刻蚀。

    4、离子注入、退火

    离子注入是指将硼、磷、砷等离子束加速到一定能量,然后注入晶圆材料的
表层内,以改变材料表层物质特性的工艺。

    退火是指将晶圆放置于较高温度的环境中,使得晶圆表面或内部的微观结构
发生变化,以达到特定性能的工艺。

    5、扩散

    扩散是指在高温环境下通过让杂质离子从较高浓度区域向较低浓度区域的
转移,在晶圆内掺入一定量的杂质离子,改变和控制晶圆内杂质的类型、浓度和
分布,从而改变晶圆表面的电导率。

    6、化学气相沉积

    化学气相沉积是指不同分压的多种气相状态反应物在一定温度和气压下在
衬底表面上进行化学反应,生成的固态物质沉积在晶圆表面,从而获得所需薄膜
的工艺技术。

                                   139
中芯国际集成电路制造有限公司                                  招股意向书

    7、物理气相沉积

    物理气相沉积是指采用物理方法,如真空蒸发、溅射镀膜、离子体镀膜和分
子束外延等,在晶圆表面形成金属薄膜的技术。

    8、化学机械研磨

    化学机械研磨是指同时利用机械力的摩擦原理及化学反应,借助研磨颗粒,
以机械摩擦的方式,将物质从晶圆表面逐层剥离以实现晶圆表面的平坦化。

    9、晶圆检测

    晶圆检测是指用探针对生产加工完成后的晶圆产品上的集成电路或半导体
元器件功能进行测试,验证是否符合产品规格。

    10、包装入库

    包装入库是指对检测通过的生产加工完成后的晶圆进行真空包装入库。


(七)生产经营中涉及的主要环境污染物及处理情况

    公司自成立以来,一直重视环境保护工作,严格遵守国家和各地方政府颁布
的有关环境保护的法律法规,严格按照有关环保要求进行生产经营活动,在生产
工艺设计、生产设备选择、环保设施配置、废弃物循环利用等方面充分考虑了环
境保护的需要。

    公司已建立完善的安全生产制度,并通过各厂区的环保安全委员会对安全生
产进行监督管理。环保安全委员会由厂区最高运营主管担任主席,由各生产运营
相关职能部门主管担任委员,定期召开会议,负责核准公司的安全生产目标,审
查执行进展,审议安全生产系统运作有效性、管理措施适当性。

    此外,公司还设有 24 小时紧急应变中心与紧急应变小组团队,专职负责全
厂的紧急及意外情况处理,包括紧急情况的鉴定,情况发生后的应变措施,处理、
协调与通报等工作。报告期内公司未发生过重大安全生产事故,也未因安全生产
事项受到重大行政处罚。

    1、生产经营中涉及环境污染的具体环节、主要污染物名称及排放量



                                  140
中芯国际集成电路制造有限公司                                  招股意向书

    公司生产经营涉及的主要污染物包括废水、废气和固体废物(含一般工业固
体废物及危险废物)三部分。


    (1)发行人生产中的具体产污环节及污染物去向如下:

    ①废水

    公司排放的废水主要为生产废水和生活废水。

    生产废水根据所含有的主要污染物及污染程度,分为一般酸碱废水、含氟废
水及含氨废水等废水;各类生产废水经过处理后统一排入市政污水管网,由相关
地区的污水处理厂集中处理。

    生活废水主要为办公废水和食堂废水,主要污染物为常规污染物,包括悬浮
物、化学需氧量、氨氮、油类等,生活废水经过处理后统一排入市政的污水管网,
由相关地区的污水处理厂集中处理。

    ②废气

    公司在生产过程中产生的主要废气污染物有:硫酸雾、氯化氢、氟化物和非
甲烷总烃、氮氧化物、氨气等,按含有的主要污染物可分为酸性废气、碱性废气
和有机废气。

    酸性废气和碱性废气经对应的废气中央洗涤塔喷淋处理达标后,通过排气筒
达标排放。

    有机废气经过沸石转轮吸附浓缩和焚化炉焚烧后,通过排气筒达标排放。

    ③固体废物

    固体废物主要可分为一般工业固体废物(工业固废)和危险废物(危废)。

    工业固废主要为五金木材、硫酸铵以及氟化钙污泥等,其中可回收部分委托
资源回收厂商分类回收处理,其余主要为无害的一般工业固废、氟化钙污泥等,
均已委托合格厂商处理。

    危废分为废液类危废和固体类危废。废液类危废主要来源于晶圆清洗、刻蚀、
化学机械抛光等环节中产生的废酸、废有机溶剂等,均通过管路收集至槽罐中暂


                                   141
中芯国际集成电路制造有限公司                                                  招股意向书

存;固体类危废主要是生产经营活动中产生的化学品空瓶、废灯管、铅蓄电池、
废油、擦拭布、活性炭等,经分类收集后均暂存在符合规定的危废贮存场所,并
在贮存场所内分类堆放。最终所有废液类危废和固体类危废均委托具有危废处置
资质的公司处理。


       (2)报告期内,发行人境内子公司合计排放污染物总量如下:

                                                                                单位:吨
    污染物                                                     危险废物
                     废气              废水中污染物                          一般固废
子公司                                                       (含废液)
中芯上海                    188.09             1,500.05         28,537.03       23,021.69
中芯北京                       60.27           2,055.44          6,107.99       25,924.22
中芯天津                       33.03            734.68           2,922.00        5,484.00
中芯深圳                       45.72            276.25           5,860.70        1,785.81
中芯北方                       79.33           1,124.73         29,974.94       19,290.03
中芯南方                        7.09             82.27           1,830.44        1,119.37
合计                        413.53             5,773.42         75,233.10       76,625.12
注:中芯南方自 2019 年下半年开始试运行
    污染物                             废水中污染物         危险废物
                废气(立方米)                                             一般固废(吨)
子公司                                     (吨)         (含废液)(吨)
中芯长电               730,900,000                3.80               731                21
合计                   730,900,000                3.80               731                21
注:中芯长电污染物统计方式与发行人其他子公司不同,废气统计单位为立方米,故单列以
区分

       2、主要处理设施及处理能力、环保设施实际运行情况


       发行人主要废水处理设施包括一般酸碱水处理系统、含氨废水处理系统、含

氟废水处理系统、含铜废水处理系统;主要废气处理设施包括酸性废气处理系统、

碱性废气处理系统及有机废气处理系统。发行人境内子公司现有环保设施的处理

能力如下:

                 处理能力
                               废水处理(立方米/天)            废气处理(立方米/小时)
子公司
中芯上海                                            28,720                      3,475,950
中芯北京                                            20,724                      2,009,000
中芯天津                                            22,680                       470,000
中芯深圳                                            20,880                       550,000


                                         142
中芯国际集成电路制造有限公司                                                         招股意向书

                 处理能力
                                  废水处理(立方米/天)             废气处理(立方米/小时)
子公司
中芯北方                                                25,790                         1,525,000
中芯南方                                                12,000                            860,000
中芯长电                                                    940                           120,000
合计                                                   131,734                         9,009,950


       报告期内,发行人及其境内子公司高度重视环境保护工作,严格遵守国家环

境保护的相关法律法规。发行人境内子公司中,已通过ISO14001环境管理体系

认证的子公司,其各项生产管理活动已严格按ISO14001管理体系标准进行;发

行人境内子公司已建立完善的环境保护内控管理制度。发行人生产环节已避免产

品对环境的影响,在生产过程根据环保要求配置了相应的环保设施并采取了必要

的控制措施。报告期内,上述环保设施、控制设施正常运行,除中芯深圳在报告

期内存在超标排放污水而受到行政处罚外(详见招股意向书―第七节/四(一)境

内违法违规及受处罚情况‖),发行人境内子公司生产过程中产生的废水、废气、

噪声能够达到国家相关排放标准。对于可回收的固体废弃物,发行人统一回收利

用;对于危险固体废弃物,发行人委托有资质的专业环保公司进行处理;对于生

活垃圾,由当地环卫组织统一收集并进行处理。

       3、报告期内,发行人子公司各年度环境保护投入与支出金额如下:

                                                                                     单位:万元
                      2019 年                     2018 年                       2017 年
 子公司
               投入             支出       投入             支出         投入             支出
中芯上海          2,933           9,326      2,976           10,964         9,695          12,047
中芯北京           373            7,772          817          9,626         7,866           9,177
中芯天津           942            2,409     10,573            3,526         2,389           2,640
中芯深圳           431            3,201      3,798            3,023          510            3,181
中芯北方         11,338           9,504     27,259            8,067         9,899           7,306
中芯南方         11,786            875             -                -            -               -
中芯长电          1,689            310             -              126           54           209
合计             29,490          33,397     45,423           35,333        30,414          34,560
注:环保投入包括环保设备的新建、改建、扩建费用;环保支出包括环保设备运行及维护费
用、危险废液及危险固废的委外处理费用、环保税/费缴纳、环境检测及认证等费用;



                                           143
中芯国际集成电路制造有限公司                                    招股意向书


    报告期内,发行人环保设施运行良好,发行人环保投入、环保相关成本与费

用与处理公司生产经营所产生的污染物相匹配。

    除已披露的环保行政处罚外,报告期内公司及其境内子公司不存在因违反环

境保护相关法律法规而被相关主管部门处以重大行政处罚的情形。公司生产经营

符合国家和地方环保要求。


二、公司所处行业的情况

(一)所处行业及分类依据

    公司主要从事集成电路晶圆代工业务,以及相关的设计服务与 IP 支持、光
掩模制造、凸块加工及测试等配套服务,属于集成电路行业。

    根据《国民经济行业分类与代码》(GB/T4754-2017),公司所处行业为计算
机、通信和其他电子设备制造业(C39)。根据证监会《上市公司行业分类指引
(2012 年修订)》,公司所处行业为计算机、通信和其他电子设备制造业(C39)。


(二)行业主管部门、监管体制与法规政策及对公司的影响

    1、行业主管部门及自律组织

    (1)行业主管部门

    工信部是集成电路行业的主管部门,其主要职责包括:提出新型工业化发展
战略和政策,协调解决新型工业化进程中的重大问题,推进产业结构战略性调整
和优化升级;制定并组织实施工业、通信业的行业规划、计划和产业政策;监测
分析工业、通信业运行态势,统计并发布相关信息,进行预测预警和信息引导;
指导行业技术创新和技术进步,以先进适用技术改造提升传统产业等。

    (2)行业自律组织

    中国半导体行业协会是集成电路行业的主要自律组织,公司为中国半导体行
业协会理事长单位。

    中国半导体行业协会是由全国半导体界从事集成电路、半导体分立器件、半
导体材料和设备的生产、设计、科研、开发、经营、应用、教学的单位、专家及

                                   144
 中芯国际集成电路制造有限公司                                                              招股意向书

 其它相关的支撑企、事业单位自愿结成的行业性的、全国性的、非营利性的社会
 组织。

      中国半导体行业协会主要负责贯彻落实政府有关的政策、法规,向政府业务
 主管部门提出本行业发展的经济、技术和装备政策的咨询意见和建议;做好信息
 咨询工作;调查、研究、预测本行业产业与市场,汇集企业要求,反映行业发展
 呼声;广泛开展经济技术交流和学术交流活动;开展国际交流与合作;制(修)
 订行业标准、国家标准及推荐标准等任务。

      2、行业主要法律法规政策

      集成电路行业是信息技术产业的核心,是支撑经济社会发展和保障国家安全
 的战略性、基础性和先导性产业。2000 年以来,国家相继出台各类法规政策,
 规范产业发展,鼓励产业成长。

      集成电路行业涉及的主要法律、法规和规范性文件如下:

序   相关                                                                                          发布
                      法律法规名称                  颁布部门               主要内容
号   领域                                                                                          日期
            《国务院关于印发鼓励软件产业                       首次专门针对软件和集成电路产业制
                                                                                                  2000 年
1           和集成电路产业发展的若干政策             国务院    定了鼓励政策,对集成电路行业的发
                                                                                                    6月
            的通知》(国发[2000]18 号)                        展具有重要意义。
                                                               为保护集成电路布图设计专有权,鼓
            《集成电路布图设计保护条例》
                                                               励集成电路技术的创新,促进科学技   2001 年
2           (中华人民共和国国务院令(第             国务院
                                                               术的发展,对集成电路布图设计的登     4月
            300 号))
                                                               记和行使等方面作出了规范。
            《集成电路布图设计保护条例实
                                                    国家知识 针对《集成电路布图设计保护条例》     2001 年
3           施细则》(国家知识产权局局长令
                                                      产权局 的实施进行了细化。                     9月
            (第 11 号))
            《国务院关于加快培育和发展战
                                                               提出着力发展集成电路、高端服务器   2010 年
4           略 性 新 兴 产 业 的 决 定 》( 国 发    国务院
                                                               等核心基础产业的决定。              10 月
            [2010]32 号)
     集成                                         从财税、投融资、研究开发、进出口、
            《国务院关于印发进一步鼓励软
     电路                                         人才、知识产权、市场等七个方面为                2011 年
5           件产业和集成电路产业发展若干 国务院
     产业                                         集成电路产业发展提供了更多的优惠                 1月
            政策的通知》(国发[2011]4 号)
                                                  政策。
            《国民经济和社会发展第十二个 全国人民 提出大力发展新一代信息技术产业的                2011 年
6
            五年规划纲要》               代表大会 要求,其中重点发展集成电路等产业。               3月
                                                  作为集成电路行业发展的指导性文件
            《集成电路产业“十二五”发展                                                          2012 年
7                                        工信部   和加强行业管理的依据,为“十二五”
            规划》                                                                                  2月
                                                  期间集成电路产业发展指明了方向。
                                                  对符合条件的产品首轮流片的设计企
                                                  业,按产品掩模版制作费用的 60%或
            《北京市进一步促进软件产业和          首轮流片费用的 30%给予研发支持;
                                         北京市人                                                 2014 年
8           集成电路产业发展的若干政策》          支持高端生产性建设:对于线宽小于
                                           民政府                                                   2月
            (京政发[2014]6 号)                  65 纳米的新建或扩建 12 英寸及以上生
                                                  产线等重大投资项目,给予资金、厂
                                                  房或贴息支持。



                                                      145
 中芯国际集成电路制造有限公司                                                               招股意向书

序   相关                                                                                            发布
                     法律法规名称              颁布部门                  主要内容
号   领域                                                                                            日期
                                                            到 2020 年,集成电路产业与国际先进
                                                            水平的差距缩小,全行业收入年均增
            《国家集成电路产业发展推进纲                    速超 20%;到 2030 年,集成电路产业      2014 年
9                                               国务院
            要》                                            链主要环节达到国际先进水平,一批          6月
                                                            企业进入国际第一梯队,实现跨越发
                                                            展。
                                                            将集成电路作为“新一代信息技术产
                                                            业”纳入大力推动突破发展的重点领        2015 年
10          《中国制造 2025》                   国务院
                                                            域,着力提升集成电路设计水平,掌          5月
                                                            握高密度封装及三维组装技术。
                                                            加大集成电路等自主软硬件产品和网
                                                                                                    2016 年
11          《国家创新驱动发展战略纲要》        国务院      络安全技术攻关和推广力度;全力攻
                                                                                                      5月
                                                            克集成电路装备等方面的关键技术。
                                               中共中央     构建先进技术体系,打造国际先进、
                                               办公厅、国   安全可控的核心技术体系,带动集成        2016 年
12          《国家信息化发展战略纲要》
                                               务院办公     电路,核心元件等薄弱环节实现根本          7月
                                                   厅       性突破。
                                                            支持面向集成电路等优势产业领域建
            《“十三五”国家科技创新规划》                  设若干科技创新平台;推动我国信息        2016 年
13                                              国务院
            (国发[2016]43 号)                             光电子器件技术和集成电路设计达到          7月
                                                            国际先进水平。
                                                            加快完善集成电路标准体系,推进高
                                                            密度封装,三维微组装、处理器、高
                                             质检总局、
            《装备制造业标准化和质量提升                    端存储器、网络安全、信息通信网络        2016 年
14                                           国家标准
            规划》(国质检标联[2016]396 号)                等领域集成电路重大创新技术标准修          8月
                                             委、工信部
                                                            订,开展集成电路设计平台、IP 核等
                                                            方面的标准研究。
                                                            启动集成电路重大生产力布局规划工
            《“十三五”国家战略性新兴产业                                                          2016 年
15                                              国务院      程,实施一批带动作用强的项目,推
            发展规划》(国发[2016]67 号)                                                            11 月
                                                            动产业能力实现快速跃升。
                                                            大力推进集成电路创新突破,加大面
                                                            向新型计算、5G、智能制造、工业互
            《“十三五”国家信息化规划》(国                                                        2016 年
16                                              国务院      联网、物联网的芯片设计研发部署,
            发[2016]73 号)                                                                          12 月
                                                            推动 32/28nm,15/14nm 工艺生产线建
                                                            设,加快 10/7nm 工艺技术研发。
                                                            着力提升集成电路设计水平;建成技
            《信息产业发展指南》(工信部联     发改委、     术先进、安全可靠的集成电路产业体        2016 年
17
            规[2016]453 号)                   工信部       系;重点发展 12 英寸集成电路成套生       12 月
                                                            产线设备。
            《国家高新技术产业开发区“十
                                                             优化产业结构,推进集成电路及专用       2017 年
18          三 五 ” 发 展 规 划 》( 国 科 发 高   科技部
                                                             装备关键核心技术突破和应用。             4月
            [2017]90 号)
            《关于本市进一步鼓励软件产业                     将集成电路产业作为上海具有全球影
                                                  上海市人                                          2017 年
19          和集成电路产业发展的若干政                       响力的科技创新中心建设和战略性新
                                                    民政府                                            4月
            策》(沪府发[2017]23 号)                        兴产业发展的核心领域。
            《深圳市人民政府办公厅关于印                     支持具有国际竞争力的集成电路企业
                                                  深圳市人                                          2019 年
20          发加快集成电路产业发展若干措                     在深圳设立研发中心和投资产业化项
                                                    民政府                                            5月
            施的通知》(深府办规[2019]4 号)                 目。
            《关于执行软件企业所得税优惠                     认定于 2010 年 12 月 31 日前成立且享
                                                                                                    2013 年
21          政策有关问题的公告》(国家税务 国税总局 有税收优惠政策的集成电路生产企业
                                                                                                      7月
            总局公告 2013 年第 43 号)                       仍按照财税[2008]第 1 号的规定执行。
     产业                                         财政部、国 明确了集成电路企业的税收优惠资格
            《关于软件和集成电路产业企业
     税收                                         税总局、发 认定的非行政许可审批取消,规定了       2016 年
22          所得税优惠政策有关问题的通
                                                  改委、工信 享受税收优惠的条件,进一步从政策         5月
            知》(财税[2016]49 号)
                                                      部     上支持集成电路产业发展。
23          《关于集成电路生产企业有关企 财政部、国 对集成电路生产企业所得税优惠政策                2018 年



                                                  146
 中芯国际集成电路制造有限公司                                                  招股意向书

序   相关                                                                            发布
                    法律法规名称           颁布部门               主要内容
号   领域                                                                            日期
            业所得税政策问题的通知》(财税 税总局、发 做了进一步规定和调整。         3月
            [2018]27 号)                  改委、工信
                                               部

      3、对公司经营发展的影响

      上述政策和法规的发布落实,为集成电路行业提供了财政、税收、技术和人
 才等多方面的支持,促进了集成电路行业的发展,为公司创造了良好的经营环境。

 (三)行业发展态势及未来趋势

      1、集成电路行业概况

      (1)集成电路简介

      集成电路是指采用一定的工艺,将数以亿计的晶体管、三极管、二极管等半
 导体器件与电阻、电容、电感等基础电子元件连接并集成在小块基板上,然后封
 装在一个管壳内,成为具备复杂电路功能的一种微型电子器件或部件。封装后的
 集成电路通常称为芯片。

      集成电路作为全球信息产业的基础与核心,被誉为“现代工业的粮食”,其
 应用领域广泛,在电子设备(如智能手机、电视机、计算机等)、通讯、军事等
 方面得到广泛应用,对经济建设、社会发展和国家安全具有重要战略意义和核心
 关键作用,是衡量一个国家或地区现代化程度和综合实力的重要标志。

      根据中国半导体行业协会统计,2018 年中国集成电路产业中最大的三类应
 用市场为网络通信领域、计算机领域及消费电子领域,合计占比 79%。未来随着
 汽车智能化、电子化、自动化的不断发展,人工智能、物联网、5G 等新兴领域
 的不断扩展,集成电路的市场规模将不断扩大、应用领域将不断延伸。

      (2)全球集成电路行业发展概况

      近年来,随着人工智能、智能驾驶、5G 等新兴市场的不断发展,全球集成
 电路行业市场规模整体呈现增长趋势。根据世界半导体贸易统计协会统计,全球
 集成电路行业销售额由 2012 年的 2,382 亿美元增长至 2018 年的 3,933 亿美元,
 年均复合增长率达 8.72%,具体如下:


                                              147
中芯国际集成电路制造有限公司                                      招股意向书




   数据来源:世界半导体贸易统计协会(WSTS)

    (3)中国集成电路行业发展概况

    近年来,凭借着巨大的市场需求、丰富的人口红利、稳定的经济增长及有利
的产业政策环境等众多优势条件,中国集成电路产业实现了快速发展,市场增速
明显高于全球水平。

    根据中国半导体行业协会统计,中国集成电路产业销售额由 2012 年的 2,158
亿元增长至 2018 年的 6,531 亿元,年均复合增长率达 20.27%。其中,2016 年、
2017 年及 2018 年中国集成电路产业销售额分别为 4,336 亿元、5,411 亿元及 6,531
亿元,增速分别达 20%、25%及 21%,具体如下:




     数据来源:中国半导体行业协会


                                    148
中芯国际集成电路制造有限公司                                                      招股意向书

     2、集成电路制造行业发展概况

     伴随技术进步、行业竞争和市场需求的不断变化,集成电路产业在经历了多
次结构调整后,已逐渐由集成电路设计、制造以及封装测试只能在公司内部一体
化完成的垂直整合制造模式演变为垂直分工的多个专业细分产业,发展历程如下:

    发展阶段                                        发展历程
                   (1)20世纪50年代,集成电路诞生于美国。作为一项新兴技术,其研发、制造等被
                   少数大型企业掌握,而生产所用的设备、材料、制造工艺技术等又有高度专业性,最
产业链集于一身     初能发展集成电路产业的企业只有掌握包括产品设计、研发、加工制造在内的全套技
(20世纪50年代至   术,拥有集成电路材料制备和设备制造的大型公司(IDM-垂直整合制造工厂);
20世纪70年代)     (2)IDM参与到集成电路产业是为自身制造的电子整机产品如电子设备、通信设备、
                   家用电器等提供服务,以此增加其整机产品的附加值,提升产品的功能和质量,降低
                   生产成本,争夺市场;
集成电路与设备、
                   (1)20世纪60年代中后期,随着产业规模的扩大和工业技术的提升,专业化分工的
材料业的分离(20
                   优势逐步显现,于是集成电路制造设备业、材料业逐渐从这些IDM分离,作为辅助支
世纪60年代至20世
                   撑行业发展起来;
纪80年代)
                   (1)封测业兴起:20世纪70年代起,行业竞争加剧和封装测试工艺日渐成熟,集成
                   电路封装测试环节的技术逐渐转移到封装测试的工艺制程、生产管理、设备制造和原
                   材料技术中;IDM为专注于核心优势,降低经营风险,逐步将封装测试环节剥离,专
                   业的封装测试公司开始出现,封测行业率先从产业中独立出来;
                   (2)设计业兴起:20世纪80年代,随着计算机辅助工程(CAE)、辅助设计(CAD)
                   和工艺模拟、仿真等设计方法的发展,使集成电路设计可独立于生产工艺进行,同时,
设计、制造、封装   顺应产品多元化趋势,大量专业集成电路设计公司应运而生,设计业兴起;
测试业的分离(20   (3)制造业兴起:20世纪80年代,随着制造工艺水平的提高,集成电路的产线建设、
世纪70年代至今)   工艺研发及人才和资本需求不断增加,多数IDM不愿或无力承担巨额投入所带来的风
                   险,于是只专注于集成电路芯片制造的企业兴起;
                   (4)封测产业转移:封装测试业在发展初期,技术和资金门槛较低,需要较多的人
                   力和土地资源,马来西亚、菲律宾、中国大陆及台湾地区的比较成本优势突出,且当
                   地政府大力支持和鼓励集成电路产业发展,因此全球集成电路产业的封装测试环节大
                   量向这些地区转移,亚太地区迅速发展成为全球集成电路封测基地;这些国家或地区
                   多数以封装测试业为基础,逐步发展设计业和制造业,最终形成三业并举的格局。

     (1)集成电路产业链简介

     集成电路产业链包括核心产业链、支撑产业链以及需求产业链。核心产业链
包括集成电路设计、制造和封装测试,支撑产业链包括集成电路材料、设备、
EDA、IP 核等,需求产业链包括通讯产品领域、消费电子领域、计算类芯片领
域、汽车/工业领域及其他领域。




                                             149
中芯国际集成电路制造有限公司                                  招股意向书




    其中,集成电路核心产业链的主要环节如下:

    ①集成电路设计

    集成电路设计是集成电路的开发过程,即通过系统设计和电路设计,将设定
的规格形成设计版图的过程。集成电路完成设计环节后进入集成电路制造环节。

    ②集成电路制造

    集成电路制造是指将光掩模上的电路图形信息大批量复制到晶圆上,并在晶
圆上大批量形成特定集成电路结构的过程,其技术含量高、工艺复杂,在芯片生
产过程中处于至关重要的地位。集成电路制造完毕后进入封装测试环节。

    ③封装测试

    封装是指将生产加工后的晶圆进行切割、焊线塑封,使电路与外部器件实现
连接,并为集成电路提供机械保护,使其免受物理、化学等环境因素损伤的工艺。

    测试是指利用专业设备,对封装完毕的集成电路进行功能和性能测试。测试
合格后,即形成可供使用的集成电路产品。

    (2)集成电路制造企业的经营模式

    集成电路制造企业的经营模式主要包括两种:一种是 IDM 模式,即垂直整
合制造模式,其涵盖了产业链的集成电路设计、制造、封装测试等所有环节;另
一种是 Foundry 模式,即晶圆代工模式,仅专注于集成电路制造环节。

    垂直整合制造模式下的集成电路企业拥有集成电路设计部门、晶圆厂、封装
测试厂,属于典型的重资产模式,对研发能力、资金实力和技术水平都有很高的




                                  150
中芯国际集成电路制造有限公司                                    招股意向书

要求,因而采用垂直整合制造模式的企业大多为全球芯片行业的传统巨头,包括
英特尔、三星电子等。

    晶圆代工模式源于集成电路产业链的专业化分工,形成无晶圆厂设计公司、
晶圆代工企业、封装测试企业。其中,无晶圆厂设计公司为市场需求服务,从事
集成电路设计和销售业务。晶圆代工企业以及封装测试企业为这类设计公司服务。
目前,世界领先的晶圆代工企业有台积电、格罗方德、联华电子和中芯国际等。

    (3)全球晶圆代工行业市场概况

    自上世纪八十年代晶圆代工模式诞生以来,晶圆代工市场经过 30 多年发展,
已成为全球半导体产业中不可或缺的核心环节。根据 IC Insights 统计,2018 年,
全球晶圆代工行业市场规模为 576 亿美元,较 2017 年的 548 亿美元增长 5.11%,
2013 年至 2018 年的年均复合增长率为 9.73%。通过与无晶圆厂设计公司等客户
形成共生关系,晶圆代工企业能在第一时间受益于新兴应用的增长红利。




   数据来源:IC Insights

    晶圆代工行业属于技术、资本和人才密集型行业,市场集中度较高,呈明显
的行业寡头垄断特征。根据 IC Insights 统计,2018 年前十大纯晶圆代工厂商占
全球市场 97%的市场份额,前五大厂商(台积电、格罗方德、联华电子、中芯国
际、力晶科技)占全球市场 88%的市场份额。

    (4)中国晶圆代工行业市场概况



                                    151
中芯国际集成电路制造有限公司                                     招股意向书

    中国大陆晶圆代工行业起步较晚,但发展速度较快。根据中国半导体行业协
会统计,2018 年中国集成电路产业制造业实现销售额 1,818 亿元人民币,同比增
长 25.55%,相较于 2013 年的 601 亿元人民币,复合增长率达 24.78%,实现高
速稳定增长。




   数据来源:中国半导体行业协会

    3、集成电路行业的新技术、新产业、新业态、新模式发展情况

    (1)集成电路行业新技术发展情况

    ①集成电路制造的新技术发展

    A、集成电路制造逻辑工艺技术

    集成电路制造需要在高度精密的设备下进行,经过光刻、刻蚀、离子注入等
工艺步骤反复几十次甚至上百次的循环,最终实现从光掩模上复杂的电路结构到
晶圆上集成电路图形的转移,在指甲盖大小的空间中集成了数公里长的导线和数
以亿计的晶体管器件,这些图形的最小宽度甚至不到头发丝直径的千分之一。

    集成电路行业在经历数十年的发展后,目前已经进入后摩尔时代,随着先进
光刻技术、3D 封装技术等不断涌现,各种先进工艺不断改进和完善,集成电路
已由本世纪初的 0.35 微米的 CMOS 工艺发展至纳米级 FinFET 工艺。

    全球最先进的量产集成电路制造工艺已经达到 7 纳米至 5 纳米,3 纳米技术

                                  152
中芯国际集成电路制造有限公司                                  招股意向书

有望在 2022 年前后进入市场。同时,作为集成电路的衬底,晶圆的直径已经由
最初的 6 英寸、8 英寸增长到现在的 12 英寸。

    B、集成电路制造特色工艺技术

    近年来,随着新兴应用的推陈出新,对除逻辑电路以外的其他集成电路和半
导体器件类型都提出了更高的要求,举例如下:

    高清电视、AMOLED 手机等设备上所搭载的愈发强大的显示面板技术,推
动静态随机存储器的存储上限从早期的 10Mb、64Mb 不断演变至目前最先进的
128Mb,驱动着工艺节点的不断升级,将静态随机存储器的工艺节点从早期的
80 纳米、55 纳米、40 纳米,升级至目前先进的 28 纳米。

    高速非易失性存储在市场的驱动下快速演进,其从最早的 8Mb 快速成长至
如今的 48 纳米工艺节点 256Mb。嵌入式非挥发性存储芯片因广泛应用于汽车电
子、消费电子、工业及无线通讯领域中,从 0.18 微米迅速发展到 40 纳米的工艺
节点,向着面积更小、速度更快的方向前进。

    ②设计服务与 IP 支持

    集成电路技术的不断发展推动了设计服务领域的技术革新。随着 FinFET
DTCO 技术的推出,设计服务可以与工艺开发深度协同,从设计的角度对工艺设
计规则、后端布线规则、器件种类等进行优化,基于优化成果提供更好的设计服
务,令其产品更具竞争力。

    此外,由于传统静态随机存储器在功耗、速度和面积等方面存在技术瓶颈,
设计服务厂商开始提供新一代存储 IP 解决方案(如 MRAM 等),以解决高性能
计算对片内大容量高速度存储器的需求及物联网应用对非挥发存储器的需求。

    FinFET 工艺持续发展所产生的晶体管线宽限制与日趋复杂的设计规则,也
对模拟、混合信号电路的设计带来较大程度限制。在符合设计规则的前提下,市
场推出了基于模板的设计服务技术与模块,使得客户设计如同搭积木式一般,而
不用受制于复杂的设计规则,节约了电路设计和后端版图时间。

    ③光掩模制造



                                   153
中芯国际集成电路制造有限公司                                  招股意向书

    光掩模作为集成电路制造中光刻环节必不可少的核心工具,其制造技术的发
展随着光刻技术的发展而演变。光掩模的类型从早期的二元掩模发展成相位移掩
模,其图形传递介质从金属铬进化成钼硅材料。近年来,随着极紫外光刻(EUV)
技术的引入,光掩模从传统的透射型基材转变为反射型基材,结构的复杂程度和
制造的难度成倍增加。

    随着光掩模上所绘电路图形尺寸不断缩小,晶体管等器件的密集度不断提高,
传统的电子束描画设备完成单张光掩模描画的时间不断增加,单张 EUV 掩模的
描画时间甚至可达数日之久,对光掩模的研发和制造提出了极高的挑战。

    多重电子束描画技术的出现和日益成熟为解决上述难题提供了新途径,该技
术运用数十万根电子束同时描画互不干扰,既能保证图形精度,又能将 EUV 掩
模描画时间控制在可接受的范围之内,在很大程度上提高了先进技术节点的研发
效率和商业量产能力。

    ④凸块加工及测试

    集成电路封装作为集成电路产业链中不可或缺的环节,一直伴随着集成电路
工艺技术的不断发展而变化。

    传统封装的作用包含对芯片的支撑与机械保护、电信号的互连与引出、电源
的分配和热管理等。传统封装形式主要是利用引线框架或基板作为载体,采用引
线键合互连的形式使电路与外部器件实现连接。

    随着集成电路制造工艺技术的不断发展,对端口密度、信号延迟及封装体积
等提出了越来越高的要求,促进了先进封装如凸块、倒装、硅穿孔、2.5D、3D
等新封装工艺及封装形式的出现和发展。

    相对于引线键合工艺,凸块工艺是通过高精密曝光、离子处理、电镀等设备
和材料,基于定制的光掩模,在晶圆上实现重布线,允许芯片有更高的端口密度,
缩短了信号传输路径,减少了信号延迟,具备了更优良的热传导性及可靠性。

    凸块工艺配合倒装技术带来封装体积的缩小,实现了芯片级封装。凸块工艺、
三维芯片系统集成等先进封装工艺实现了各种晶圆级封装和系统级封装,成为拓
展摩尔定律的另外一种实现方式。


                                  154
中芯国际集成电路制造有限公司                                    招股意向书

    (2)集成电路行业新产业发展情况

    集成电路是信息产业的基础,涉及计算机、家用电器、数码电子、电气、通
信、交通、医疗、航空航天等几乎所有电子设备领域。近年来,集成电路应用领
域随着科技进步不断延展,5G、物联网、人工智能、智能驾驶、云计算和大数
据、机器人和无人机等新兴领域蓬勃发展,为集成电路产业带来新的机遇。

    ①5G

    根据中国信通院《5G 经济社会影响白皮书》预测,5G 商用预计在 2020 年
带动中国市场约 4,840 亿元的直接产出,并于 2030 年增长至 6.3 万亿元,年均复
合增长率为 29%。5G 的正式商用化将为新型芯片的上市带来更多机遇和挑战。

    ②物联网

    强化的数据传输、边缘计算和云分析功能的综合要求将带动物联网的加速发
展,并推动信息链接、收集、计算和处理等 4 个方面功能芯片的不断优化和升级。

    ③人工智能

    目前全球人工智能正在经历新的发展浪潮,基于云计算和大数据的人工智能
采用深度学习算法,能拥有更强的计算能力进行数据分析。人工智能对数据运算、
存储和传输的需求越来越高,推动芯片设计和制造水平的不断升级。

    ④智能驾驶

    汽车电子系统中,智能驾驶辅助系统和车联网系统很大程度上决定了汽车智
能化的程度,其对车用芯片的技术水平提出了更高的要求。

    ⑤云计算和大数据

    云计算和大数据为人工智能和机器学习发展奠定了基础,云计算和大数据的
持续发展对于高性能计算芯片和大容量存储芯片提出了新的要求。

    ⑥机器人和无人机

    未来,全球机器人和无人机芯片市场将快速增长,相关应用将会深入到生产、
生活等各个领域,为半导体市场带来多样化的需求。


                                   155
中芯国际集成电路制造有限公司                                 招股意向书

    (3)集成电路行业新业态与新模式发展情况

    集成电路行业在经过多年发展后已形成了相对固定的寡头竞争格局与相对
稳定的业态和模式,具体参见本节“二、公司所处行业的情况”之“(三)行业
发展态势及未来趋势”之“2、集成电路制造行业发展概况”。


(四)行业面临的机遇与挑战

    1、行业面临的机遇

    (1)新应用推动市场需求持续旺盛

    集成电路行业虽然呈现周期性波动的特性,但整体增长趋势并未发生变化,
每次技术变革持续带动行业增长。随着消费电子产品向智能化、轻薄化、便携化
的方向发展,新的智能终端产品层出不穷,使得集成电路产业的市场前景越来越
广阔。以物联网为代表的新需求所带动的如云计算、人工智能、大数据等新应用
的兴起,逐渐成为集成电路行业新一代技术变革动力。

    集成电路下游应用领域的不断延展带动了市场需求的持续旺盛。同时,伴随
着全球的集成电路巨头不断加大资本性投资力度,集成电路行业的景气度有望保
持上升趋势,有利于集成电路制造企业发展壮大。

    (2)技术水平逐渐提高

    近年来,中国集成电路市场的迅速发展推动了中国集成电路领域的产业进步
与技术革新。随着应用领域的分化,中国大陆在集成电路制造领域技术水平不断
取得突破,在先进与特色工艺的技术研发和产业化等方面取得了显著进展。中国
大陆集成电路制造技术与国际领先技术的差距越来越小,为推动集成电路产业实
现跨越发展奠定了牢固的基础。

    (3)集成电路产能向中国大陆转移

    集成电路产业链逐步从美国、日本、欧洲和中国台湾向中国大陆和东南亚等
地区转移,有利于国内企业研发先进技术和积累管理经验,促进本土企业的快速
发展。产业链转移的全球大趋势为中国大陆集成电路行业的发展提供了新的机遇。

    中国大陆新增晶圆厂的逐步建设完成为国内集成电路行业在降低成本、扩大

                                 156
中芯国际集成电路制造有限公司                                  招股意向书

产能、地域便利性等方面提供了新的支持,对于集成电路产业的发展起到了促进
作用。大陆市场的旺盛需求和投资热潮带动了集成电路产业专业人才的培养及配
套产业的发展,集成电路产业环境的良性发展为中国大陆集成电路制造环节扩张
和升级提供了机遇。

    (4)集成电路产线愈加昂贵加剧头部企业集中趋势

    在摩尔定律的推动下,元器件集成度的大幅提高要求集成电路线宽不断缩小,
导致生产技术与制造工序愈为复杂,制造成本呈指数级上升趋势。当技术节点向
5 纳米甚至更小的方向升级时,普通光刻机受其波长的限制,其精度已无法满足
工艺要求。因此,集成电路的制造需要采用昂贵的极紫外光刻机,或采用多重模
板工艺,重复多次薄膜沉积和刻蚀工序以实现更小的线宽,使得薄膜沉积和刻蚀
次数显著增加,意味着集成电路制造企业需要投入更多且更先进的光刻机、刻蚀
设备和薄膜沉积设备等,造成巨额的设备投入。

    根据 IBS 统计,随着技术节点的不断缩小,集成电路制造的设备投入呈大幅
上升的趋势。以 5 纳米技术节点为例,其投资成本高达数百亿美元,是 14 纳米
的两倍以上,28 纳米的四倍左右。




    巨额的设备投入只有具备一定规模的头部集成电路制造厂商可以负担,其进


                                  157
中芯国际集成电路制造有限公司                                   招股意向书

一步加剧了集成电路制造行业向头部集中的趋势,为头部集成电路制造企业的发
展创造了良好机遇。

    (5)产业政策的有力支持

    目前,中国大陆集成电路产业的快速发展尚不能完全满足日益增长的市场需
求。2018 年中国大陆集成电路进口额达 3,121 亿美元,是目前进口金额最高的商
品,同期中国大陆集成电路出口额为 846 亿美元,贸易逆差达 2,275 亿美元。




数据来源:中国半导体行业协会

    集成电路产业是国民经济和社会发展的战略性、基础性、先导性产业,是电
子信息产业的核心。近年来,国家相继出台产业政策,以市场化运作的方式推动
集成电路产业的发展。2014 年 6 月,国务院发布《国家集成电路产业发展推进
纲要》,明确了集成电路产业未来几年的发展目标,具体如下:




    具体国家支持政策及行业监管法规参见本节之“二、公司所处行业的情况”
之“(二)行业主管部门、监管体制与法规政策及对公司的影响”。

                                  158
中芯国际集成电路制造有限公司                                 招股意向书

    2、行业面临的挑战

    (1)与国际顶尖技术水平仍有一定差距

    中国大陆集成电路企业在顶尖技术积累方面与业界龙头企业存在一定差距。
尽管中国政府和企业愈发重视对集成电路产业的研发投入,但由于技术发展水平、
人才培养等方面的滞后性,以及企业资金实力不足等诸多原因,中国大陆集成电
路产业的研发力量薄弱、自主创新能力不足的状况依然存在。

    就集成电路晶圆代工行业而言,在先进工艺线宽这一关键指标上,中国大陆
企业在生产设备和技术人才等方面与业界龙头企业还存在一定差距。在集成电路
行业面临全球范围内充分竞争的背景下,中国大陆企业在与业界龙头企业竞争的
过程中仍会在未来一段时间内处于相对弱势的地位。

    (2)高端专业技术人才不足

    集成电路晶圆代工行业属于技术和人才密集型行业。相对于发展成熟的美国、
日本、欧洲和中国台湾等,中国大陆因产业发展起步晚,导致经验丰富的集成电
路高端人才稀缺。

    尽管近年来国家对高端专业人才的培养力度逐步加大,但人才匮乏的情况依
然存在,已成为当前制约行业发展的主要因素。

    (3)资金实力不足

    集成电路行业,尤其是集成电路晶圆代工行业,从前期设备的投入,工艺的
研发到人才梯队的培养,都需要大量的资金投入。对于动辄数十亿甚至上百亿美
元生产线的投入,大多数企业的资金实力无法满足大规模扩产的需求。


三、公司市场竞争情况

(一)市场地位

    中芯国际是全球领先的集成电路晶圆代工企业之一,也是中国大陆技术最先
进、规模最大、配套服务最完善、跨国经营的晶圆代工企业,提供 0.35 微米到
14 纳米多种技术节点、不同工艺平台的集成电路晶圆代工及配套服务,市场地



                                 159
中芯国际集成电路制造有限公司                                                        招股意向书

位突出,具体情况如下:

       1、全球市场地位

       根据 IC Insights 公布的 2018 年纯晶圆代工行业全球市场销售额排名,中芯
国际占全球纯晶圆代工市场份额的 6%,位居全球第四位,具体如下表所示:

                                                                                单位:百万美元
                                                         2018 年度             2017 年度
 排名        公司名称          所属国家/地区
                                                     销售额     占有率     销售额      占有率
   1          台积电             中国台湾              34,208        59%     32,163        59%
   2         格罗方德              美国                 6,209        11%      6,176        11%
   3         联华电子            中国台湾               5,021         9%      4,898         9%
   4         中芯国际            中国大陆               3,195        6%       3,101        6%
   5         力晶科技            中国台湾               1,633         3%      1,498         3%
   6         华虹集团            中国大陆               1,542         3%      1,395         3%
   7        高塔半导体            以色列                1,311         2%      1,388         3%
   8         世界先进            中国台湾                959          2%       820          1%
   9        东部半导体             韩国                  615          1%       601          1%
  10          X-Fab                欧洲                  586          1%       582          1%
                        合计                           55,279        97%     52,622        97%
注 1:以上数据为 IC Insights 机构独立统计,与各公司定期报告存在一定差异
注 2:华虹集团包含华虹半导体和上海华力

       2、中国市场地位

       根据 IC Insights 公布的 2018 年纯晶圆代工行业中国市场销售额排名,中芯
国际占中国纯晶圆代工市场份额的 18%,在中国大陆企业中排名第一,具体如
下表所示:

                                                                                单位:百万美元
                                                          2018年度             2017年度
 排名        公司名称          所属国家/地区
                                                     销售额      占比      销售额       占比
   1          台积电             中国台湾               6,010        56%      3,725        49%
   2         中芯国际            中国大陆               1,900        18%      1,465        19%
   3         华虹集团            中国大陆                880          8%       765         10%
   4         联华电子            中国台湾                740          7%       622          8%
   5         格罗方德              美国                  525          5%       475          6%
   6         武汉新芯            中国大陆                165          2%       125          2%
                        合计                           10,220        96%      7,177        94%
注 1:以上数据为 IC Insights 机构独立统计,与各公司定期报告存在一定差异
注 2:华虹集团包含华虹半导体和上海华力


(二)发行人的技术水平及特点、取得的科技成果与产业深度融合的具体情况

       中芯国际经过多年发展,取得了丰富的科技成果,部分成果已达到国际领先

                                               160
中芯国际集成电路制造有限公司                                      招股意向书

的技术水平。公司成功开发了 0.35 微米至 14 纳米多种技术节点,应用于不同工
艺技术平台,具备逻辑电路、电源/模拟、高压驱动、嵌入式非挥发性存储、非
易失性存储、混合信号/射频、图像传感器等多个技术平台的量产能力,可为客
户提供通讯产品、消费品、汽车、工业、计算机等不同领域的集成电路晶圆代工
及配套服务。公司的技术水平与公司取得的科技成果在不同产业的应用情况如下:

    1、逻辑工艺技术平台

    在逻辑电路制造领域,中芯国际成功开发了 0.35/0.25 微米、0.18/0.15 微米、
0.13/0.11 微米、90 纳米、65/55 纳米、45/40 纳米、28 纳米及 14 纳米等多种技术
节点,是中国大陆技术最先进、覆盖技术节点最广的晶圆代工企业之一。

    在先进逻辑工艺领域,中芯国际是中国大陆第一家提供国际领先的 14 纳米
技术节点的晶圆代工企业。目前,公司第一代 14 纳米 FinFET 技术已进入量产
阶段,第二代 FinFET 技术已进入客户导入阶段。利用公司先进 FinFET 技术在
晶圆上所制成的芯片已被广泛地应用于智能手机、平板电脑、机顶盒等领域。

    在成熟逻辑工艺领域,中芯国际是中国大陆第一家提供 0.18/0.15 微米、
0.13/0.11 微米、90 纳米、65/55 纳米、45/40 纳米和 28 纳米技术节点的晶圆代工
企业。目前,公司的 28 纳米工艺是业界主流技术,包含传统的多晶硅和后栅极
的高介电常数金属栅极制程;公司的 45/40 纳米、65/55 纳米和 90 纳米工艺实现
了高性能和低功耗的融合;公司的 0.13/0.11 微米和 0.18/0.15 微米工艺分别实现
了全铜制程和铝制程,在满足高性能的同时有效控制成本。公司利用成熟逻辑工
艺技术平台所制成的芯片产品已被广泛地应用于处理器、移动基带、无线互联芯
片、数字电视、机顶盒、智能卡、消费性产品等诸多领域。

    2、特色工艺技术平台

    除逻辑电路制造外,中芯国际成功开发了电源/模拟、高压驱动、嵌入式非
挥发性存储、非易失性存储、混合信号/射频、图像传感器等多种特色工艺平台,
均已达到了行业先进的技术水平。


    其中,电源/模拟技术基于现有的低功耗逻辑工艺平台可提供模块架构,为

模拟和电源应用提供优越的性能;高压驱动技术平台涵盖 0.15 微米、55 纳米、


                                    161
中芯国际集成电路制造有限公司                                     招股意向书


40 纳米等技术节点,提供了中压和高压器件,优化高压显示驱动芯片 SRAM 单

元;嵌入式非挥发性存储技术平台涵盖 0.35 微米至 40 纳米技术节点,具有低功

耗、耐久性突出的特点;非易失性存储技术平台涵盖 24 纳米、38 纳米以及 65

纳米至 0.18 微米技术节点。

    公司利用特色工艺技术平台所制成的芯片产品已被广泛地应用于电源管理、
汽车和工业、通信和消费电子等诸多领域。

    3、配套服务

    (1)设计服务及 IP 支持

    中芯国际拥有超过 200 人的专业设计服务团队,其通过与技术研发团队合作,
提供与集成电路晶圆代工业务配套的设计服务和 IP 支持。目前,公司具有中国
大陆最先进的 FinFET 工艺下的基础 IP 开发和芯片定制能力,可为客户提供多种
IP 模块,具体如下:

    ①全系列标准单元库,适用于高性能、消费类、低功耗和特定功能的各种芯
片设计;

    ②通用型、振荡器和定制类等多种输入/输出端口 IP,以及相应的 ESD 合规
检查服务;

    ③从高速系列到高密度系列,从高速到低电压应用的静态随机存储编译器;

    ④模数转换、数模转换、锁相回路、温度电压传感器、电子熔丝等模拟 IP,
可覆盖从高性能高精度到低功耗小面积的多种应用。

    此外,中芯国际基于领先的 EDA 平台所研发的参考设计流程可服务于
0.13/0.11 微米、65/55 纳米、45/40 纳米、28 纳米及 14 纳米等多种技术节点的芯
片设计。同时,公司提供高性价比的后端版图和布局布线服务,帮助客户加快产
品设计与量产导入。

    (2)光掩模制造

    中芯国际拥有中国大陆最大及最先进的光掩模制造设施和最专业的光掩模
制造工艺研发团队,能够为 14 纳米量产及更先进技术节点研发提供光掩模产品。

                                    162
中芯国际集成电路制造有限公司                                    招股意向书

公司的光掩模制造所涉及的核心工艺包括前道的版图数据处理、电子束描画、显
影刻蚀与后道的缺陷检查和控制,均由中芯国际团队开发完成并拥有自主知识产
权,其产品线可覆盖公司所有的集成电路晶圆代工工艺。

    目前,公司是中国大陆唯一具备 FinFET 光掩模量产能力的企业,其 14 纳
米光掩模已稳定量产,在性能、质量、良率和交货周期等方面均达业界领先水平。

    (3)凸块加工及测试

    中芯长电是中国大陆第一家能够提供在 28 纳米至 14 纳米工艺制造的集成电
路晶圆上进行中段凸块加工的厂商,所封测的集成电路产品涉及领域广泛,包括
移动通讯、消费电子、存储器、电源管理等。


(三)行业内主要企业

    1、台积电

    台湾积体电路制造股份有限公司成立于 1987 年,总部位于中国台湾,于 1994
年在台湾证券交易所上市(股票代码:2330.TW),于 1997 年 10 月在纽交所上
市(股票代码:TSM.NYSE)。台积电在北美、欧洲、日本、中国大陆等地设有
子公司或办事处,提供全球客户的业务与技术服务。根据 IC Insights 公布的 2018
年全球纯晶圆代工行业排名,台积电位居全球第 1 位。

    2、格罗方德

    Global Foundries Inc.成立于 2009 年,总部位于美国,拥有德国德累斯顿、
美国奥斯汀和纽约州等多座工厂。格罗方德的产品主要应用于移动、汽车自动化、
沟通网络和数据中心、物联网市场等领域。根据 IC Insights 公布的 2018 年全球
纯晶圆代工行业排名,格罗方德位居全球第 2 位。

    3、联华电子

    联华电子股份有限公司成立于 1980 年,总部位于中国台湾,于 1985 年在台
湾证券交易所上市(股票代码:2303.TW),于 2000 年在纽交所上市(股票代码:
UMC.NYSE),为 IC 产业各项主要应用产品生产芯片。根据 IC Insights 公布的
2018 年全球纯晶圆代工行业排名,联华电子位居全球第 3 位。


                                   163
中芯国际集成电路制造有限公司                                       招股意向书

    4、力晶科技

    力晶科技股份有限公司成立于 1994 年,总部位于中国台湾,于 1998 年在台
湾证券交易所上市(股票代码:5346.TW),于 2012 年退市。力晶科技业务范围
涵盖记忆体制造和晶圆代工两大类别,逻辑晶圆代工产品主要包括 TFT-LCD 驱
动 IC、电源管理 IC、快闪记忆体、影像感测 IC 等。根据 IC Insights 公布的 2018
年全球纯晶圆代工行业排名,力晶科技位居全球第 5 位。

    5、华虹集团

    上海华虹(集团)有限公司包括香港联交所上市公司华虹半导体有限公司和
上海华力微电子有限公司。华虹半导体成立于 2005 年,总部位于中国上海,于
2014 年在香港联交所上市(股票代码:1347.HK),在中国台湾地区、日本、北
美和欧洲等地提供销售与技术支持。上海华力成立于 2010 年,产品主要运用于
手机通讯、消费电子产品、智能卡、物联网、穿戴电子及汽车等设备产品。根据
IC Insights 公布的 2018 年全球纯晶圆代工行业排名,华虹集团位居全球第 6 位。

    6、高塔半导体

    Tower Semiconductor Ltd.成立于 1993 年,总部位于以色列的 Migdal Haemek,
于 1994 年在纳斯达克上市(股票代码:TSEM.NASDAQ),是一家在美国、亚洲
和欧洲生产密集型混合信号半导体器件的晶圆代工厂,产品主要运用于消费电子
产品、个人计算机、通信、汽车、工业和医疗设备产品。根据 IC Insights 公布的
2018 年全球纯晶圆代工行业排名,高塔半导体位居全球第 7 位。

    7、华润微

    China Resources Microelectronics Limited(华润微电子有限公司)成立于 2003
年,总部位于中国江苏省无锡市,于 2020 年在上交所科创板上市(股票代码:
688396.SH),拥有芯片设计、晶圆制造、封装测试等全产业链一体化运营能力,
产品聚焦于功率半导体、智能传感器领域。


(四)与同行业可比公司的对比分析

    根据同行业可比公司官网、年度报告等公开信息,公司与同行业可比公司在
经营情况、市场地位、技术实力、研发投入等方面的比较情况如下:

                                    164
 中芯国际集成电路制造有限公司                                                                           招股意向书

      1、经营情况

                                                                                                               单位:亿元

                         2019 年                               2018 年                            2017 年
  公司
  名称       总资产    收入 净利润 毛利率 总资产           收入 净利润 毛利率 总资产            收入 净利润 毛利率

 台积电        5,285   2,466      816      46%   4,686    2,233       786       48%     4,391   2,228         787     51%

中芯国际       1,148    220        13     21%     988          230        4     23%      779      214           9    25%

联华电子        855     342        11      14%    813          327        7     15%      862      340          15     18%

华虹半导体      252      64        11      30%     211          62       12     33%      136       55          10     33%

高塔半导体      135      85           6    19%    123           86        9     22%      109       94          20     26%

  华润微        101      57           5    23%    100           63        5     25%       97       59          -1     18%
 数据来源:可比上市公司定期报告。


      2、市场地位

      根据 IC Insights 公布的 2018 年纯晶圆代工行业全球市场销售额排名,中芯
 国际排名全球第 4 位,中国大陆第 1 位。详见本节之“三、公司市场竞争情况”
 之“(一)市场地位”。


      3、技术实力

      在集成电路晶圆代工领域,关键技术节点的量产能力是衡量企业技术实力的
 重要标准之一。公司与同行业可比公司在关键技术节点的量产时间对比如下:

 国际主要晶圆代工企业          2011 年 2012 年 2013 年 2014 年 2015 年 2016 年 2017 年 2018 年 2019 年

           台积电              28nm                        20nm          16nm    10nm                   7nm

         格罗方德              32nm       28nm                           14nm                       12nm
         联华电子                                28nm                                      14nm
         中芯国际              40nm                                      28nm                                       14nm
         力晶科技                         90nm             55nm                  25nm

    华虹集团(注)                                                               65nm      55nm     28nm
                                                          65nm、
      高塔半导体
                                                           45nm
 资料来源:各公司官网及定期报告整理
 注:华虹半导体所属华虹集团旗下的上海华力于 2018 年实现 28 纳米量产




                                                         165
中芯国际集成电路制造有限公司                                                           招股意向书


     4、研发投入

                                                                                         单位:亿元
                        2019 年度                 2018 年度                       2017 年度
公司名称
             研发费用     占营业收入比例   研发费用   占营业收入比例   研发费用      占营业收入比例
  台积电          211                9%         186              8%         184                 8%
中芯国际           47               22%          45             19%          36                17%
 联华电子          27                8%          28              9%          31                 9%
华虹半导体          4                7%           3              5%           3                 6%
高塔半导体          5                6%           5              6%           5                 5%
华润微电子          5                8%           4              7%           4                 8%
数据来源:可比上市公司定期报告。


(五)公司竞争优势与劣势

     1、竞争优势

     (1)完善的技术体系和高效的研发能力

     公司重视技术创新与工艺研发,建立了完善的研发创新体系,在研发平台、
研发团队、技术体系等方面形成了较强的优势。

     ①研发平台优势

     公司重视研发平台的建设,报告期内承担了包括 01、02 专项在内共计 7 项
国家重大科研专项项目,是工信部认定的国家级工业设计中心(2018-2019)及
国家认定的企业技术中心。公司曾荣获国家科学技术进步奖二等奖、北京市科学
技术进步奖一等奖、上海市科学技术进步奖一等奖等荣誉称号。

     公司研发中心根据总体战略与技术发展战略,以客户需求为导向,同时进行
成熟工艺精进与先进技术开发。公司具备中国大陆最为领先的先进制程技术,并
在多个领域掌握领先的特色工艺,建立了 14 纳米 FinFET 技术、28 纳米 PolySiON
和 HKMG 技术、45/40 纳米标准逻辑制程低漏电技术、65/55 纳米低漏电和超低
功耗技术等主要研发平台。

     同时,公司研发中心通过与生产制造部门无缝衔接,建立了“研发——生产
一体”的支撑体系,使项目在研发阶段即具备满足后续量产技术要求的能力,大
大加快了从研究开发到项目大规模投产的进程,有效保证了产出质量与可靠性,



                                                166
中芯国际集成电路制造有限公司                                       招股意向书

缩短了研发周期,提高了公司核心竞争力。

    ②研发团队优势

    公司通过多年集成电路研发实践,组建了高素质的核心管理团队和专业化的
核心研发团队。研发团队核心成员由境内外资深专家组成,拥有在行业内多年的
研发管理经验。

    截至 2019 年 12 月 31 日,公司共有员工 15,795 人,其中研发人员 2,530 人,
占比达到 16.02%。研发团队是公司保持及进一步提升技术实力的坚实基础。

    ③完善的知识产权体系

    公司在集成电路领域内积累了众多核心技术,形成了完善的知识产权体系和
独特的技术优势。截至 2019 年 12 月 31 日,登记在公司及其控股子公司名下的
与生产经营相关的主要专利共 8,122 件,其中境内专利 6,527 件,包括发明专利
5,965 件;境外专利 1,595 件,此外公司还拥有集成电路布图设计 94 件。

    (2)国际化及全产业链布局

    公司自成立以来一直着眼于全球化布局,坚持国际化运营的理念,多年来积
极通过国际资本市场进行投融资活动,形成了较为国际化的股权背景与公司治理
结构,组建了国际化的管理团队与人才队伍,建立了辐射全球的服务基地与运营
网络,在美国、欧洲、日本和中国台湾设立了市场推广办公室,在中国香港设立
了代表处,以便更好拓展市场,快速响应客户需求。

    公司通过多年国际化运营,可为客户提供基于多种技术节点、不同工艺平台
的集成电路晶圆代工及配套服务,已与境内外客户形成了稳固的业务合作关系,
公司主要客户及供应商均是海内外半导体产业链的知名企业,国际化的视野与布
局使公司能够与合作伙伴保持密切沟通。

    在国际化管理理念的指引下,公司高度重视供应链的安全,与集成电路产业
链的上下游企业建立了紧密的战略合作关系。公司近年来积极提升全产业链整合
与布局的能力,通过与上下游企业合作,构建紧密的集成电路产业生态,为客户
提供全方位、一体化的集成电路解决方案。



                                    167
中芯国际集成电路制造有限公司                                       招股意向书

    (3)丰富产品平台和知名品牌优势

    公司是中国大陆较早进入集成电路晶圆代工领域的企业,20 年来长期专注
于集成电路工艺技术的开发,成功开发了 0.35 微米至 14 纳米多种技术节点,应
用于不同工艺技术平台,具备逻辑电路、电源/模拟、高压驱动、嵌入式非挥发
性存储、非易失性存储、混合信号/射频、图像传感器等多个技术平台的量产能
力,可为客户提供通讯产品、消费品、汽车、工业、计算机等不同领域集成电路
晶圆代工及配套服务。




    公司完善的产品体系满足了不同领域客户的多样化需求,公司以提供优质的
产品和服务在集成电路晶圆代工领域树立了优质品牌形象,成为了中国大陆设计
公司首选的集成电路晶圆代工企业,具有明显的品牌效应。

    公司曾荣获中国电子工业标准化技术协会“电子信息行业社会责任试点示范
企业”、中国电子信息行业联合会“中国电子信息百强企业”、中国工业经济联合
会“第四届工业大奖”、国家知识产权局“2018 年度中国专利奖优秀奖”等 60
余项境内外荣誉奖项。

    (4)广泛的客户积累优势

    公司作为中国大陆第一家提供 0.18/0.15 微米、0.13/0.11 微米、90 纳米、65/55
纳米、45/40 纳米、28 纳米以及 14 纳米技术节点的集成电路晶圆代工企业。经
多年发展,公司已具备设计服务与 IP 支持、光掩模制造、凸块加工及测试等一

                                    168
中芯国际集成电路制造有限公司                                        招股意向书

站式配套服务能力,能够为客户提供全方位的集成电路解决方案,在设备、技术、
产品品质等方面具有比较优势。

      公司依靠卓越的研发技术实力、强大的生产制造能力、完善的配套服务体系、
深耕市场的实践经验,形成了明显的品牌效应,获得了良好的行业认知度,拥有
了境内外广泛的客户积累优势,树立了境内外领先的行业地位。

      相对于国内竞争对手,公司已与境内外领先芯片设计厂商建立了长期稳定的
合作关系,使公司能够掌握行业、产品最新技术动态,及时了解和把握客户最新
需求,准确地进行芯片产品更新升级,确保公司产品在市场竞争中保持先发优势,
同时积累产品行业应用经验,完善产品性能,提高产品质量水平。

      相对于国外竞争对手,公司一方面更加贴近、了解本土市场,能够快速响应
客户需求,提供充分的服务支持;另一方面,公司与国内芯片设计厂商在企业文
化、市场理念和售后服务等方面更能相互认同,业务合作通畅、高效,形成了密
切且相互依存的产业生态链。

      (5)完善的质量管理体系

      公司不断扩展质量管控的广度和深度,建立了全面完善的质量控制系统,在
质量、环保、信息安全、车辆安全等领域通过多项国际标准认证,覆盖了从工艺
研发到产品交付的全流程,并在具体的日常工作中严格按照国际标准的要求执行。

      公司已通过的国际标准如下:

序号              标准简称                         标准名称
  1               ISO27001                    信息安全管理体系认证
  2                ISO9001                      质量管理体系认证
  3               ISO14001                      环境管理体系认证
  4          OHSAS18001/ISO45001            职业健康安全管理体系认证
  5               IATF16949                    汽车业管理体系认证
  6                TL9000                    电信业质量管理体系认证
  7               QC080000                  环境有害物质管理体系认证
  8               ISO14064                    温室气体排放盘查认证
  9               ISO50001                      能源管理体系认证
 10               ISO26262                    道路车辆功能安全认证




                                    169
中芯国际集成电路制造有限公司                                              招股意向书

    2、竞争劣势

    (1)持续资金投入需求

    随着终端市场的快速发展和行业技术的迭代革新,公司需持续拓展产品种类,
顺应行业发展方向,通过技术升级推动产品结构升级,由此将带来较大的资金投
入压力。公司需拓展融资渠道,以进一步提高市场占有率、盈利能力以及可持续
发展能力。

    (2)产能规模瓶颈

    经过多年发展,中国大陆集成电路市场持续攀升,现已成为全球最大的集成
电路市场。报告期各期,公司的年产能(约当 8 英寸)分别为 5,289,113 片、5,393,219
片及 5,482,475 片,尚需进一步提升产能,以抓住市场关键机遇,提高市场占有
率并更好地满足终端市场需求。


四、发行人销售情况和主要客户情况

(一)发行人主要产品的产能和产量

    1、主要产品产量、销量

     项目             2019 年度                  2018 年度            2017 年度
  产量(片)                5,153,061                  4,949,261            4,586,477
  销量(片)                5,028,796                  4,874,663            4,310,779
    产销率                      97.59%                   98.49%               93.99%
注:晶圆产量、销量按照约当 8 英寸统计

    2、产能利用率

     项目             2019 年度                  2018 年度            2017 年度
  产能(片)                5,482,475                  5,393,219            5,289,113
  产量(片)                5,153,061                  4,949,261            4,586,477
  产能利用率                    93.99%                   91.77%               86.72%
注:晶圆产能、产量按照约当 8 英寸统计,产能按单位工时生产线的产能*工时汇总计算

(二)发行人主要产品的销售情况
         项目                  2019 年度             2018 年度         2017 年度
   销售收入(万元)               1,999,379.30         2,012,814.34      2,012,943.61


                                           170
中芯国际集成电路制造有限公司                                                        招股意向书


           项目                   2019 年度                2018 年度              2017 年度
      销量(片)                       5,028,796               4,874,663              4,310,779
    均价(元/片)                       3,975.86                4,129.14               4,669.56
注:晶圆销量及均价按照约当 8 英寸统计

(三)报告期内前五大客户及销售情况

    报告期各期,发行人向前五名客户合计销售额分别为 1,079,016.69 万元、
1,040,714.70 万元和 951,422.90 万元;占当期销售总额的比例分别为 50.45%、45.22%
及 43.21%,占比逐年降低。其中,2017 年度前三名客户销售额占比分别为 20.14%、
17.02%及 6.54%,2018 年度前两名客户销售额占比分别为 16.97%及 15.38%,2019
年度前两名客户销售额占比分别为 18.48%及 12.44%。发行人不存在向单个客户
销售比例超过发行人当年销售总额 50%或严重依赖少数客户的情况。

    公司的董事、高级管理人员和核心技术人员,主要关联方或持有公司 5%以
上股份的股东在上述客户中不存在占有权益的情况。


五、发行人采购情况和主要供应商情况

(一)主要原材料及能源

    1、主要原材料

    公司生产经营的主要原材料包括硅片、化学品、光阻、气体、靶材、研磨材
料等,具体采购情况如下:

                  项目                    2019 年度             2018 年度           2017 年度
                  金额(万元)                 204,207.22         172,804.94          135,648.44
                  占比                              40.81%             37.42%            31.48%
    硅片
                  数量(万片)                       632.77             600.87            587.27
                  单价(元/片)                      322.72             287.59            230.98
                  金额(万元)                  71,889.46           67,971.93          70,394.65
                  占比                              14.37%             14.72%            16.34%
    光阻
                  数量(吨)                        6,209.01           5,633.79          5,608.86
                  单价(万元/吨)                     11.58              12.07                12.55
                  金额(万元)                  63,995.79           62,135.72          60,632.38
   化学品         占比                              12.79%             13.46%            14.07%
                  数量(吨)                    42,212.36           43,493.92          41,641.70


                                              171
中芯国际集成电路制造有限公司                                                                 招股意向书


                   项目                           2019 年度                 2018 年度        2017 年度
                   单价(万元/吨)                            1.52                  1.43                 1.46
                   金额(万元)                        39,761.80               38,890.57            38,336.90
                   占比                                     7.95%                 8.42%                8.90%
    气体
                   数量(吨)                             1,824.67              1,659.92             1,577.21
                   单价(万元/吨)                           21.79                23.43                24.31
                   金额(万元)                        41,472.34               39,516.13            38,292.51
                   占比                                     8.29%                 8.56%                8.89%
   研磨液
                   数量(吨)                          10,960.81               10,881.49            10,616.40
                   单价(万元/吨)                            3.78                  3.63                 3.61
                   金额(万元)                        28,605.28               28,410.83            31,498.83
  研磨垫及         占比                                     5.72%                 6.15%                7.31%
  研磨盘           数量(件)                             147,921               143,244              149,755
                   单价(元/件)                          1,933.82              1,983.39             2,103.36
                   金额(万元)                        22,346.80               25,646.32            28,028.06
                   占比                                     4.47%                 5.55%                6.50%
    靶材
                   数量(件)                               13,179               13,483               14,163
                   单价(万元/件)                            1.70                  1.90                 1.98
注:硅片、靶材数量及单价按照约当 8 英寸统计

    2、主要能源

    公司生产经营的主要能源为电力,具体采购的情况如下:

                   2019 年度                         2018 年度                          2017 年度
项目                   单价                      单价                      单价
       金额(万元)              金额(万元)              金额(万元)
                   (元/千瓦时)             (元/千瓦时)             (元/千瓦时)
电力       103,022.08             0.58          94,683.43              0.60      91,544.69             0.61

(二)主要原材料和能源的价格变动趋势

    主要原材料和能源的平均采购价格的变动情况如下:

                                         2019 年度                      2018 年度            2017 年度
            项目
                                   均价           变动幅度           均价       变动幅度        均价
硅片(元/片)                       322.72          12.21%           287.59       24.51%             230.98
光阻(万元/吨)                      11.58           -4.03%           12.07       -3.87%              12.55
化学品(万元/吨)                        1.52        6.29%             1.43       -1.79%               1.46
气体(万元/吨)                      21.79           -7.00%           23.43       -3.62%              24.31
研磨液(万元/吨)                        3.78        4.13%             3.63         0.55%              3.61
研磨垫及研磨盘(元/件)            1,933.82          -2.50%      1,983.39         -5.70%        2,103.36



                                                    172
中芯国际集成电路制造有限公司                                                   招股意向书


                                  2019 年度                2018 年度           2017 年度
           项目
                              均价        变动幅度      均价      变动幅度       均价
靶材(万元/件)                   1.70     -10.86%         1.90       -3.93%            1.98
电力                              0.58      -3.33%         0.60       -1.64%            0.61
注:硅片、靶材单价按照约当 8 英寸统计

       报告期内,公司硅片的采购单价呈上升趋势,各期分别为 230.98 元/片、287.59
元/片及 322.72 元/片。根据 SEMI 统计,2016 至 2018 年,全球半导体硅片销售
单价从 0.67 美元/英寸上升至 0.89 美元/英寸,年均复合增长率达 15.39%。


(三)报告期内前五大原材料供应商及采购情况

       报告期各期,公司向前五名材料供应商合计采购额占当期采购总额的比例分
别为 39.42%、42.87%及 46.57%。公司不存在向单个材料供应商采购比例超过公
司当年采购总额 50%或严重依赖少数材料供应商的情况。具体情况如下:

序号               公司名称                      采购额(万元)          占采购总额比例
                                         2019 年度
  1            第一大材料供应商                           76,708.87               15.33%
  2            第二大材料供应商                           64,158.70               12.82%
  3            第三大材料供应商                           38,124.89                 7.62%
  4            第四大材料供应商                           35,024.31                 7.00%
  5            第五大材料供应商                           19,030.49                 3.80%
                  合计                                   233,047.26               46.57%
                                         2018 年度
  1            第一大材料供应商                           62,821.31               13.60%
  2            第二大材料供应商                           57,852.54               12.53%
  3            第三大材料供应商                           32,817.80                 7.11%
  4            第四大材料供应商                           25,074.07                 5.43%
  5            第五大材料供应商                           19,404.09                 4.20%
                  合计                                   197,969.81               42.87%
                                         2017 年度
  1            第一大材料供应商                           63,746.97               14.79%
  2            第二大材料供应商                           34,081.37                 7.91%
  3            第三大材料供应商                           33,740.44                 7.83%
  4            第四大材料供应商                           19,231.43                 4.46%
  5            第五大材料供应商                           19,049.07                 4.42%
                  合计                                   169,849.27               39.42%

       公司的董事、高级管理人员和核心技术人员,主要关联方或持有公司 5%以

                                           173
中芯国际集成电路制造有限公司                                                             招股意向书

上股份的股东在上述供应商中不存在占有权益的情况。


六、发行人主要资产情况

(一)主要固定资产情况

      截至 2019 年 12 月 31 日,公司拥有的固定资产主要包括房屋及建筑物、机
器设备、办公设备,具体情况如下:

                                                                                         单位:万元
       项目                原价           累计折旧         减值准备          账面价值         成新率
房屋及建筑物              753,256.76       179,627.30                 -       573,629.47      76.15%
机器设备               10,074,031.20     6,935,918.37       44,357.00       3,093,755.83      30.71%
办公设备                  114,555.45        95,328.64                 -        19,226.81      16.78%
       合计            10,941,843.42     7,210,874.30       44,357.00       3,686,612.11      33.69%

      1、房屋建筑物

      (1)已取得权利证书的房屋建筑物

      截至 2019 年 12 月 31 日,公司及境内子公司已取得房屋所有权证书且与生
产经营相关的主要房屋建筑物如下:

序                                                                        建筑面积
     所有权人        权证编号                       位置                               用途   是否抵押
号                                                                        (㎡)
                沪房地浦字(2015)                                                            正在办理
1    中芯上海                        张江路18号                           201,772.00   工业
                第104816号                                                                    抵押登记
                京房权证开外字第     北京经济技术开发区文昌大道18
2    中芯北京                                                             143,017.34   工业      否
                00059号              号
                京(2019)开不动产   北京经济技术开发区文昌大道18
3    中芯北京                                                               1,623.84   仓库      否
                权第0007981号        号院8号-1至1层101
                                                                                       厂房
                京(2019)开不动产 北京经济技术开发区文昌大道18
4    中芯北方                                                             192,677.79   及配      否
                权第0003580号      号院11号楼1至4层101等[7]套
                                                                                         套
                京(2019)开不动产 北京经济技术开发区文昌大道18
5    中芯北方                                                            89,793.44 厂房        否
                权第0006024号        号院12号楼1至4层101
                津(2020)西青区不 西青区西青开发区兴华道与兴华                      非居
6   中芯天津                                                           109,755.01              否
                动产权第1002387号 五支路交口西南侧兴华道19号                           住
注:中芯上海与中国进出口银行上海分行于 2019 年 8 月 29 日签署了三份《借款合同(进口信贷固定资产
类贷款)》,贷款金额分别为 7,000 万美元、6,800 万美元、6,200 万美元,贷款期限 60 个月,自“贷款”项
下首次放款日起至最后还款日止。中芯上海与中国进出口银行上海分行签署《房地产最高额抵押合同》,以
“沪房地浦字(2015)第 104816 号”不动产为抵押物之一,为上述借款合同项下贷款的偿还提供抵押担保

      (2)尚未取得权利证书的房屋建筑物

      截至 2019 年 12 月 31 日,公司及境内子公司尚未取得房屋所有权证书的主
要房屋建筑物如下:

                                                  174
中芯国际集成电路制造有限公司                                                                           招股意向书


序号     权利人          坐落位置         面积(m2)                  用途                        办理进度
                                                         综合办公楼和部分生产广房
 1                   上海市浦东新区张      78,650.94                                              正在办理中
        中芯上海                                         及配套
                     江路 18 号
 2                                         17,276.00     综合办公楼                        由于历史原因无法办理
                 深圳市坪山区龙田
                                                         集成电路芯片生产线厂房及
 3      中芯深圳 街道出口加工区高         226,894.50                                              正在办理中
                                                         配套
                 芯路 18 号
                 北京经济技术开发
 4      中芯北京                           35,162.31     厂房及配套建筑物                  由于历史原因无法办理
                 区文昌大道 18 号
                 高新区西部园区Ⅲ
 5      成都开发                           41,944.62     生活区配套工程                    由于历史原因无法办理
                 号园区

        除上述情况外,截至报告期末,中芯天津拥有的集成电路生产线项目工程除
PMD 厂房以外的部分及中芯上海部分厂房、变电站,由在建工程转为固定资产,
正在正常办理竣工验收及备案等手续过程中。

        2、租赁的房屋建筑物

        截至 2019 年 12 月 31 日,公司及境内子公司租赁的对生产经营有较大影响
的主要房屋建筑物如下:

序号           出租方        承租方                  地址                   租赁期间      面积(㎡)       用途
                                                                           2014/12/1—
    1     长电科技          中芯长电      江阴市东定西路 1 号                              14,193.75    生产、办公
                                                                            2024/11/30
          江阴扬子江创                    江阴市东盛西路 6 号的扬
                                                                           2019/11/20—
    2     智投资发展有      中芯长电      子江生物医药加速器 A8                             1,760.00       办公
                                                                            2024/11/19
          限公司                          楼 4 单元
          上海裕龙润泰                    苏州工业园区苏雅路 158           2019/05/05—
    3                       中芯上海                                                         306.96        办公
          石化有限公司                    号 1 幢 602 室                    2021/05/04


(二)主要无形资产

        1、境内土地使用权

        (1)已取得权利证书的土地使用权

        截至 2019 年 12 月 31 日,公司及境内子公司已取得权利证书且与生产经营
相关的主要土地使用权如下:

序      所有                                                  宗地面积       取得      权利终止            是否
                     权证编号                位置                                                  用途
号      权人                                                  (㎡)         方式        日期              抵押
                                                                                                           正在办
        中芯    沪房地浦字(2015)                                                                 工业
1                                  张江路 18 号               361,805.00     出让   2052.08.29             理抵押
        上海    第 104816 号                                                                       用地
                                                                                                             登记
                京(2019)开不动      北京经济技术开发
        中芯    产权第 0007981        区文昌大道 18 号                                             工业
2                                                             126,465.90     出让   2052.12.30                 否
        北京    号、京技国用(2015    院 8 号-1 至 1 层 101                                        用地
                出)第 00022 号       (即核心区 41 号



                                                       175
中芯国际集成电路制造有限公司                                                                         招股意向书

序       所有                                                 宗地面积     取得     权利终止                是否
                      权证编号                 位置                                                用途
号       权人                                                 (㎡)       方式       日期                  抵押
                                      街区)
                京(2019)开不动      北京经济技术开发
                产权第 0003580        区文昌大道 18 号
         中芯                                                                                      工业
3               号、京(2019)开      院 11 号楼 1 至 4 层    113,673.70   出让     2052.12.30               否
         北方                                                                                      用地
                不动产权第            101 等[7]套、12 号
                0006024 号            楼 1 至 4 层 101
                                      西青区西青开发区
                津(2020)西青区
         中芯                         兴华道与兴华五支                                             工业
4               不动产权第                                    215,731.70   出让     2047.7.28                否
         天津                         路交口西南侧兴华                                             用地
                1002387 号
                                      道 19 号
              苏(2017)江阴市
         中芯                                                                          工业
    5         不动产权第          城东街道红岩村     182,082.00 出让         2066.5.29            否
         长电                                                                          用地
              0011034 号
注 1:中芯上海与中国进出口银行上海分行于 2019 年 8 月 29 日签署了三份《借款合同(进口信贷固定资
产类贷款)》,贷款金额分别为 7,000 万美元、6,800 万美元、6,200 万美元,贷款期限 60 个月,自“贷款”
项下首次放款日起至最后还款日止。中芯上海与中国进出口银行上海分行签署《房地产最高额抵押合同》,
以“沪房地浦字(2015)第 104816 号”不动产为抵押物之一,为上述借款合同项下贷款的偿还提供抵押担
保
注 2:江阴市不动产登记中心于 2020 年 5 月 13 日出具的《江阴市不动产登记簿证明》,中芯长电上述地块
存在抵押情况,已办理抵押登记,不动产登记证明号为“苏(2020)江阴市不动产证明第 0018089 号”,抵
押方式为最高额抵押,债权金额为 102,239,000 元,设定日期为 2020 年 5 月 7 日,债权履行期限为 2020-03-09
至 2021-03-09,抵押权人为中国建设银行股份有限公司江阴支行

         (2)尚未取得权利证书的土地使用权

         截至 2019 年 12 月 31 日,公司及境内子公司尚未取得权利证书且与生产经
营相关的主要土地使用权如下:

序号        权利人               坐落位置             用地面积(m)        用途                  办理进度
                        深圳市坪山区龙田街道                                         已缴纳土地出让金,未来拟
     1     中芯深圳                                    200,060.32        工业用地
                        出口加工区高芯路 18 号                                       和房屋产权证书一并办理

         2、专利

         截至 2019 年 12 月 31 日,登记在公司及其控股子公司名下的与生产经营相
关的主要专利共 8,122 件,其中境内专利 6,527 件,包括发明专利 5,965 件;境
外专利 1,595 件。该等专利的具体情况参见本招股意向书之“附表一:主要专利
情况”。

         3、商标

         截至 2019 年 12 月 31 日,登记在公司及其控股子公司名下的与生产经营相
关的主要商标共计 70 项,其中境内商标 59 项,境外商标 11 项。该等商标的具
体情况参见本招股意向书之“附表二:主要商标情况”。




                                                        176
中芯国际集成电路制造有限公司                                  招股意向书

    4、集成电路布图设计专有权

    截至 2019 年 12 月 31 日,登记在公司及其控股子公司名下的集成电路布图
设计专有权共计 94 项。该等集成电路布图设计专有权的具体情况参见本招股意
向书之“附表三:集成电路布图设计专有权”。


(三)上述资产与公司产品或服务的内在联系

    公司的固定资产主要为生产经营所需的房屋建筑物和机器设备,上述固定资
产为公司进行集成电路晶圆代工、工艺研发及配套服务提供了场所和工具,公司
相关建筑、机器设备情况良好,是公司进行生产经营活动的必要条件。

    公司的无形资产主要为已取得的土地使用权、专利、商标和集成电路布图设
计专有权,是公司现有产品和服务取得市场认可和快速发展的核心竞争力,其中
公司核心产品有一件或多件发明专利予以保护知识产权。

    除上述部分房产、土地未取得产权证书外,公司的主要固定资产、无形资产
不存在瑕疵、纠纷或潜在纠纷,以上情况对公司持续经营不存在重大不利影响的
情形。


七、公司核心技术与科研、研发情况

(一)公司的核心技术

    1、公司核心技术及技术来源

    中芯国际全面一体的集成电路晶圆代工核心技术体系,可以有效地帮助客户
降低成本,缩短产品上市时间,是公司实现“成为优质、创新、值得信赖的国际
一流集成电路制造企业”这一愿景的重要保障。

    中芯国际成功开发了 0.35 微米至 14 纳米的多种技术节点,主要应用于逻辑
工艺技术平台与特色工艺技术平台,其核心技术情况如下:




                                  177
中芯国际集成电路制造有限公司                                                           招股意向书

      (1)逻辑工艺技术平台

 序     技术                                                                                     先进
                                   表征及特点                               应用领域
 号     节点                                                                                     程度
                  ①应用 FinFET 新型器件,高性能/低功耗,支持
                  超低工作电压;
                  ②应用多重曝光图形技术,集成度超过 3x10^9 个     高性能低功耗计算及消费电子
                  晶体管/平方厘米;                                产品领域,例如智能手机、平    国际
 1    14 纳米
                  ③应用高介电常数金属栅极技术,提供三种不同       板电脑、机顶盒、AI、射频、    领先
                  阈值电压的核心器件;                             车载和物联网等领域。
                  ④低介电常数介质的铜互连技术,支持最多 13 层
                  金属互联。
                  ①具备高介电常数金属栅极、锗硅应力提升技术
                                                                   高性能应用处理器、移动基带
                  和超低电介质材料铜互联工艺;
                                                                   及无线互联芯片领域,例如智    国际
 2    28 纳米     ②运用了 193 纳米浸润式两次微影技术和形成超
                                                                   能手机、平板电脑、电视、机    领先
                  浅结的毫秒级退火工艺;
                                                                   顶盒和互联网等领域。
                  ③核心组件电压 0.9V,具有三种不同阈值电压。
                                                                   手机基带及应用处理器、平板
                 ①核心组件电压 1.1V,涵盖三种不同阈值电压;
                                                                   电脑多媒体应用处理器、数字    国际
 3    45/40 纳米 ②运用了先进的浸润式光刻技术,应力技术,超
                                                                   电视、机顶盒、游戏及其他无    领先
                 浅结技术以及低介电常数介质等技术。
                                                                   线互联应用等领域。

                 ①基于完备的设计规则、规格及 SPICE 模型;         高性能、低功耗的应用领域,
                                                                                                 国际
 4    65/55 纳米 ②核心元件电压:1.2V,输入/输出电压:1.8V,       如移动应用领域和无线应用等
                                                                                                 领先
                 2.5V 和 3.3V。                                    领域。

                                                               低能耗,卓越性能及高集成度
                  ①低介电常数介质的铜互连技术;
                                                               领域,如无线电话、数字电视、
                  ②支持客户定制,达到各种设计要求,包括高速,                              国内
 5    90 纳米                                                  机顶盒、移动电视、个人多媒
                  低耗,混合信号,射频以及嵌入式和系统集成等                                领先
                                                               体产品、无线网络接入及个人
                  方案。
                                                               计算机应用芯片等。

                  ①采用全铜制程技术;                            低成本领域,如闪存控制器、
      0.13/0.11                                                                                  国际
 6                ②使用 8 层金属层宽度仅为 80 纳米的门电路,核 媒体播放器和其他各种应用产
      微米                                                                                       领先
                  心元件电压:1.2V,输入/输出电压:2.5V 和 3.3V。 品等领域。

                  ①采用铝制程技术,特点是每平方毫米的多晶硅       低成本领域,如智能卡、移动/
      0.18/0.15                                                                                  国际
 7                门电路集成度高达 100,000 门;                    消费应用和汽车和工业应用产
      微米                                                                                       领先
                  ②有 1.8V、3.3V 和 5V 三种不同电压。             品等领域。
      0.35/0.25   ①采用铝制程技术;                               智能卡、消费性产品以及其它    国内
 8
      微米        ②有 2.5V、3.3V 和 5V 三种不同电压。             多个领域。                    领先
注:由于成熟逻辑工艺技术平台应用领域相对重视安全、稳定等因素,因此以其工艺水平、
器件性能等指标作为先进程度衡量标准

      (2)特色工艺技术平台

 序                                                                                              先进
         技术名称            技术节点、表征及特点                        应用领域
 号                                                                                              程度
                                                             智能手机、平板电脑及消费电子产品
      电源/模拟技术    涵盖 0.35 微米、0.18 微米和 0.15 微   领域,如电池管理、DC-DC、AC-DC、 国际
 1
      平台             米等技术节点。                        PMIC、快速充电器、电机控制器以及 领先
                                                             汽车和工业应用领域。
                       ①涵盖 0.15 微米、55 纳米、40 纳米
                                                             计算机和消费类电子产品以及无线通
      高压驱动技术     等技术节点;                                                              国际
 2                                                           讯 LCD/AMOLED 显示面板驱动等领
      平台             ②提供了中压和高压器件,优化高                                            领先
                                                             域。
                       压显示驱动芯片 SRAM 单元。



                                                 178
中芯国际集成电路制造有限公司                                                             招股意向书

 序                                                                                              先进
        技术名称             技术节点、表征及特点                        应用领域
 号                                                                                              程度
      嵌入式非挥发性 ①涵盖 0.35 微米到 40 纳米技术节点; 智能卡、微处理器和物联网应用等领       国内
 3
      存储技术平台   ②低功耗、耐久性突出。               域。                                   领先
                                                           通信与数据处理、消费电子和工业电
                       ①涵盖 24 纳米、38 纳米以及 65 纳
                                                           子领域,如记忆卡和 USB 棒、手机、
      非易失性存储     米到 0.18 微米技术节点;                                               国内
 4                                                         移动设备、MP3、可穿戴设备、玩具
      技术平台         ②具备低成本,低功耗,高可靠性                                         领先
                                                           和游戏、数字电视、监控、智能仪表、
                       和高耐久性等特点。
                                                           自动化和机器人等领域。
                       ①具备深阱 NFET 噪声隔离、低成
                       本金属电容、无额外光掩模等技术
      混合信号/射频                                        消费电子、通信、计算机以及物联网      国内
 5                     特点;
      技术平台                                             等市场领域。                          领先
                       ②多阈值电压器件、高密度后段金
                       属电容。
                       ①前照式工艺的后段介质层减薄以
      图像传感器技     提高响应度,平台暗电流优化;        智能手机、数码相机、监控/安防/医疗    国内
 6
      术平台           ②堆叠式中针对传感器晶圆的特殊      成像等领域。                          领先
                       制程优化,降低暗电流。

      (3)配套服务技术

 序                                                                                              先进
      技术名称                        表征及特点                              应用领域
 号                                                                                              程度
                   ①SoC 全定制设计,在客户定义核心算法的基础上,       高性能低功耗计算及消
                   支持从设计流程中的不同阶段导入设计服务;             费电子产品领域,例如智
                   ②SoC 拓扑和物理设计规范检查以达到                   能手机、平板电脑、机顶
      设计服务     HBM/MM/CDM/LU 等指标;                               盒、AI、射频、车载和物 国内
 1
      技术         ③精确到逻辑单元级和器件级的功能和性能诊断技         联网等领域;           领先
                   术,可应用于百万门级逻辑设计的全维度检查和诊断;     低成本领域,如智能卡、
                   ④数模混合信号设计功能和性能诊断,具备电路失配       移动/消费应用和汽车和
                   分析、器件敏感度分析等高阶设计能力。                 工业应用产品等领域。
                   ①标准单元库定制:提供高密度、低功耗和高性能等
                   不同偏向性的标准单元库的设计能力;                   高性能低功耗计算及消
                   ②IO 定制:提供常规标准接口 IO 设计以及各种协议      费电子产品领域,例如智
                   标准的专用 IO 的设计,如 DDR 类、LVDS 类、晶振       能手机、平板电脑、机顶
                   类等;                                               盒、AI、射频、车载和物 国内
 2    IP 技术
                   ③存储器设计:提供高密度、高性能和单口、双口等       联网等领域;           领先
                   组合维度的存储器编译器设计以及出厂只读的 ROM         低成本领域,如智能卡、
                   编译器设计;                                         移动/消费应用和汽车和
                   ④数模混合信号 IP:可提供时钟管理、数模/模数转换、   工业应用产品等领域。
                   电源管理、温度传感等数模混合信号 IP 的设计能力;
                   ①适用于 14 纳米及更先进技术节点的光掩模制造;       高性能低功耗计算及消
      光掩模工     ②通过建立相关模型和大数据分析,系统性预判光掩       费电子产品领域,例如智
                                                                                                 国际
 3    艺误差修     模制造过程中的制造误差,并在光掩模制造前对设计       能手机、平板电脑、机顶
                                                                                                 领先
      正技术       图形进行整体的预先修正,从而确保光掩模上图形更       盒、AI、射频、车载和物
                   符合设计要求的技术。                                 联网等领域。

                   ①在更先进节点产品检测上提供更低的辐射能量避免
                   对产品造成影响;
                   ②提供更高密度的铜布线工艺以符合高电流电源管理
                   芯片的需要;                                         手机芯片,射频,电源管
      中段凸块                                                                                   国际
 4                 ③提供更小的线宽来应对更先进的产品;                 理,存储器,基站和一些
      技术                                                                                       领先
                   ④世界上第一家在高容量存储器凸块工艺上提供高性       终端产品。
                   能高速电镀的企业;
                   ⑤中国第一家提供低温钝化层重构工艺量产能力的企
                   业。


                                                179
中芯国际集成电路制造有限公司                                                   招股意向书

       2、公司核心技术的保护情况

       公司的核心技术通过申请专利或建立信息隔离机制进行保护,截至 2019 年
12 月 31 日,登记在公司及其控股子公司名下的与生产经营相关的主要专利共
8,122 件。公司的专利情况详见本节之“六、发行人主要资产情况”之“(二)主
要无形资产”之“2、专利”部分内容。

       对于非专利技术和数据,公司一直致力于将信息的保护与隔离做到最佳,根
据数据等级不同,实现了办公机密区,普通区和生产区的三种网络逻辑隔离。其
中,公司针对办公机密区,从“人,料,物,法,环”五大管控方向定制了可有
效执行的机密区保护方针,结合系统已实现非专利技术数据“不落地,无纸化”
的云端存储方式与“逢出必审,不错不漏”的审查模式,对关键信息予以有效保
护。公司定期展开的信息安全培训也进一步增强了员工的信息安全意识,使研发
的知识产权得到更好的保护。

       3、公司核心技术在主营业务产品中的应用和贡献情况

       公司的核心技术广泛应用于主营业务产品中,主要包括集成电路晶圆代工、
光掩模制造、凸块加工及测试等。报告期内,公司核心技术产品和服务收入占营
业收入的比例如下:

                                                                               单位:万元
                 项目                 2019 年度           2018 年度          2017 年度
     核心技术产品和服务收入             2,146,997.70       2,254,069.90        2,098,164.91
            营业收入                    2,201,788.29       2,301,670.68        2,138,982.24
                 占比                        97.51%                97.93%           98.09%

(二)公司科研实力和成果情况

       公司的科研实力和成果情况如下:

       1、公司承担的重大科研项目

       公司报告期内承担的国家重大科研专项情况如下:

序号      类别                         项目名称                         承担主体   项目周期
 1     国家 02 专项              20-14 纳米先导产品工艺                 中芯上海   2016-2019
 2     国家 02 专项     7/5 纳米集成电路先导工艺与系统集成新技术        中芯上海   2017-2020



                                           180
中芯国际集成电路制造有限公司                                                              招股意向书


序号        类别                               项目名称                         承担主体    项目周期
 3      国家 02 专项                     28 纳米特色工艺平台                    中芯上海    2017-2019
 4      国家 02 专项               12 英寸国产装备新工艺开发与应用              中芯北京    2017-2019
 5      国家 02 专项     12 英寸集成电路工艺用国产材料和零部件开发及品质优化    中芯北京    2017-2020
 6      国家 01 专项                    国产 IP 平台建设及应用                  中芯上海    2017-2019
 7     纳米科技专项            微纳结构硅基混合集成宽带高速光访存芯片           中芯上海    2017-2022


       2、产学研合作情况

       中芯国际与国内集成电路领域的主要高校和研究机构开展产学研合作,共同
发展前沿技术,推动科技成果的产业化,建设自主创新体系,提供在职训练岗位,
培养面向工业的高端学术人才,促进学界和产业界的学术交流。

       3、专利情况

       公司将科研成果及核心技术转化为专利进行保护和应用。截至 2019 年 12
月 31 日,登记在公司及其控股子公司名下的与生产经营相关的主要专利共 8,122
件,具体参见本节之“六、发行人主要资产情况”之“(二)主要无形资产”。

       4、公司及其产品获得重要奖项的情况

       报告期公司获得的主要荣誉如下:

序号       日期                         奖项                             颁奖机构            获奖主体
 1     2019 年 1 月        2019 年度电子信息行业领军企业         电子信息优秀企业评审委员会 中芯国际
 2     2017 年 9 月           浦东新区科学技术奖二等奖            上海市浦东新区人民政府     中芯上海
 3     2017 年 9 月           浦东新区科学技术奖三等奖            上海市浦东新区人民政府     中芯上海
 4     2017 年 11 月          2017 年国家级工业设计中心                工信部、财政部        中芯上海
 5     2017 年 11 月           上海市科学技术奖二等奖                  上海市人民政府        中芯上海
 6     2017 年 11 月           上海市科学技术奖三等奖                  上海市人民政府        中芯上海
 7     2017 年 12 月            国家知识产权示范企业                   国家知识产权局        中芯上海
 8     2017 年 12 月   2017 年知识产权领域最具影响力创新主体          中国专利保护协会       中芯上海
                       2017 年度上海市集成电路行业最佳经济效益
 9     2018 年 4 月                                               上海市集成电路行业协会     中芯上海
                                        第二名
 10    2018 年 4 月 2017 年度上海市集成电路制造业销售第一名       上海市集成电路行业协会     中芯上海
 11    2018 年 4 月        2017 年中国半导体制造十大企业             中国半导体行业协会      中芯上海
 12    2018 年 7 月              2018 年电子信息百强              中国电子信息行业联合会     中芯上海
                                                                 上海市企业联合会、上海市
                                 2018 上海企业 100 强
 13    2018 年 8 月                                              企业家协会、上海市经济团    中芯上海
                               2018 上海制造企业 100 强
                                                                         体联合会
 14    2018 年 12 月             浦东新区创新成就奖               上海市浦东新区人民政府     中芯上海



                                                  181
中芯国际集成电路制造有限公司                                                              招股意向书


序号       日期                         奖项                            颁奖机构             获奖主体
 15    2019 年 1 月    2018 年度张江科学城优秀机构创新成果奖      张江高科技园区管委会       中芯上海
 16    2019 年 4 月 2018 年度上海市集成电路制造业销售前五名      上海市集成电路行业协会      中芯上海
 17    2019 年 6 月          2018 年度中国专利奖优秀奖               国家知识产权局          中芯上海
 18    2019 年 7 月       2018 年电子信息百强(第 26 位)        中国电子信息行业联合会      中芯上海
                                                                上海市企业联合会、上海市
                            2019 上海企业 100 强(51 位)
 19    2019 年 8 月                                             企业家协会、上海市经济团     中芯上海
                          2019 上海制造企业 100 强(15 位)
                                                                          体联合会
                                                                国家质检总局、“质量之光”
 20    2017 年 12 月         质量之光年度质量标杆企业                                        中芯北京
                                                                    公众评选活动组委会
                       第二十一届中国北京国际科技产业博览会     中国北京国际科技产业博览
 21    2018 年 7 月                                                                          中芯北京
                                     最佳展示奖                       会组委会办公室
                                                                中共北京市委经济技术开发
 22    2019 年 10 月                科技创新企业                区工作委员会、北京经济技     中芯北京
                                                                    术开发区管理委员会
 23    2019 年 1 月           北京市智能制造标杆企业              北京市经济和信息化局       中芯北方
 24    2017 年 4 月    2016 年度西青区出口十强外资企业第一名      天津市西青区人民政府       中芯天津
 25    2019 年 9 月     2019 年天津制造业企业 100 强第 51 名        天津市企业家协会         中芯天津
 26    2017 年 2 月         深圳市 2017 年度重大项目证书         深圳市发展和改革委员会      中芯深圳
 27    2018 年 2 月         深圳市 2018 年度重大项目证书         深圳市发展和改革委员会      中芯深圳
 28    2019 年 2 月           2018 年最佳市场表现企业             深圳市半导体行业协会       中芯深圳
 29    2019 年 7 月    深圳市 2018 年度外商投资企业突出贡献奖         深圳市商务局           中芯深圳


(三)公司在研项目情况

       1、公司在研项目情况

       公司目前主要在研项目 12 个,包括先进和成熟工艺制程、特色工艺制程的
现有项目升级工作和新产品研发项目,具体情况如下:

所属核                                                                                         参与研
       序                                                                          所处   研发
心技术    研发项目名称                             研发目标                                    发人员
       号                                                                          阶段   模式
  平台                                                                                           数量
                              在 14 纳米 FinFET 通用工艺平台基础上,开发系列衍
                              生应用平台,包括:
                              ①12 纳米通用技术平台:相对于 14nm 平台,进一步
                              优化器件性能,并提高集成度,实现芯片性能的提升。
                              ②无线射频技术平台:开发低噪声器件、高频器件等
逻辑工       14 纳米          特殊射频器件,优化模型和设计工具包,满足多种无
                                                                                工艺      自主 约 100
艺技术 1     FinFET 衍生      线通讯应用需求。
                                                                                优化      研发   人
  平台       技术平台开发     ③车用电子技术平台:优化工艺,封装和 IP 设计,提
                              高可靠性和安全性,通过 AEC-Q100 和 IS26262 等车用
                              电子相关认证。
                              ④高性能计算技术平台:提高器件性能,开发特殊器
                              件,支持多至 13 层金属互联,开发高速存储和高性能
                              标准库等基础 IP,以及相关高速接口 IP。




                                                   182
中芯国际集成电路制造有限公司                                                              招股意向书

所属核                                                                                         参与研
       序                                                                          所处   研发
心技术    研发项目名称                          研发目标                                       发人员
       号                                                                          阶段   模式
  平台                                                                                           数量
                           N+1 是中芯国际第二代 FinFET 技术,第一次采用了
                           SAQP 形成 fin,SADP 形成 dummy gate,以达到更小
            N+1 工艺技术                                                           工艺   自主 约 300
       2                   pitch 的需求。相比于前代技术,单位面积的晶体管密
            研发                                                                   优化   研发   人
                           度大幅提升。同时提供不同的 Vt 选择,满足不同市场
                           应用的需求。目前已经进入客户导入阶段。
            新一代 28 纳
                           28 纳米后栅极的高介电常数金属栅极(HKMG)制程。
            米紧凑加强型
                           基于 28HKC 工艺,继续优化器件性能,性能提升 10%;
            低功耗                                                           工艺         自主 约 105
       3                   28HKC+平台主要应用于智能手机、平板电脑、电视、
            (28HKC+)                                                       优化         研发   人
                           机顶盒、互联网、高性能应用处理器、移动基带及无
            逻辑工艺开发
                           线互联芯片制造。
            和产业化
                           设计规划与 28HKC+完全一致,提供 22 纳米低功耗制
                           程技术(22ULP)与低漏电制程技术(22ULL),可广
            22 纳米低功                                                            工艺   自主   约 70
       4                   泛应用于各类物联网产品,以满足智能手机、数字电
            耗工艺平台                                                             优化   研发     人
                           视、机顶盒、图像处理器、可穿戴设备以及消费性电
                           子产品等需求。
                           基于 28HKC+平台,优化 0.9/1.8V RF 和 0.9/2.5V RF 平
            28 纳米射频    台,提供各类射频器件。主要应用于家用网络、              工艺   自主   约 16
       5
            工艺平台       RFCMOS 器件、路由器、WIFI、2.4G 芯片、移动端设          优化   研发     人
                           备通信(信号接收、发送装置)等毫米波应用。
                           基于 28HKC+平台,增加中压和高压器件;与 40 纳米
                           高压显示驱动工艺平台相比 SRAM 面积缩小 40%
            28 纳米高压
                           (0.127um),容量大于 64Mb,为高端显示提供技术          工艺   自主   约 29
       6    显示驱动工艺
                           解决方案。驱动芯片主要应用智能手机、液晶电视、          优化   研发     人
            平台
                           笔记本、高端显示器驱动芯片、柔性显示驱动芯片、
                           娱乐消费电子等产品。
                           基于 40 纳米逻辑平台,提供了中压和高压器件,优化
            40 纳米高压
                           高压显示驱动芯片 SRAM 单元,提供单芯片架构解决          工艺   自主   约 30
       7    显示驱动工艺
                           方案,SRAM 尺寸 0.19um。主要应用于 TFT-LCD 和           优化   研发     人
            平台
                           AMOLED 等中小面板显示技术中。
                           中芯国际携手国内外领先客户,以智能手机、安防、
特色工                     汽车电子等产品为主要目标市场,立足于
艺技术      高性能 CMOS    2MP/5MP/8MP/12MP/48MP/64MP 等手机摄像头芯片、
                                                                                   工艺   自主   约 28
  平台 8    图像传感器工   3D 识别芯片、安防监控芯片等的量产与研发。目前,
                                                                                   优化   研发     人
            艺             中芯国际 CMOS 图像传感研发平台主要有 55 纳米后照
                           式技术(BSI)、55 纳米图形处理器(ISP)量产,并着
                           重于下一代 40 纳米/28 纳米 ISP 平台的开发。
                           基于量产平台 55 纳米 e-Flash、0.11 微米 MCU、90/55/40
            嵌入式闪存平   纳米 MCU,40 纳米尚处研发阶段。主要应用于物联网、
                                                                                   工艺   自主   约 47
       9    台工艺         各种智能卡芯片、安全芯片、工业、汽车电子等领域。
                                                                                   优化   研发     人
            (eFlash)     这些嵌入式闪存技术提供高性能、低功耗与卓越的耐
                           久性和资料保存解决方案。
                           提供 55NOR 与 48NOR 代工服务;其中 48NOR 方案比
                           55NOR 面积缩小了 22%。应用市场主要在 Wi-Fi、Blue
                           tooth、车载电子以及家电产品。65 纳米、55 纳米制程
            NOR Flash 存                                                           工艺   自主   约 35
       10                  NOR Flash 的企业用户,是中芯国际主要发展的战略客
            储工艺                                                                 优化   研发     人
                           户。中芯国际将把 NOR 做到大容量、高质量,已有 65
                           纳米 NOR、55 纳米 NOR 量产,目前着重于下一代 48
                           纳米 NOR 平台的开发。




                                               183
中芯国际集成电路制造有限公司                                                              招股意向书

所属核                                                                                          参与研
       序                                                                          所处    研发
心技术    研发项目名称                           研发目标                                       发人员
       号                                                                          阶段    模式
  平台                                                                                            数量

                             中芯国际为国内外知名企业提供高质量、高可靠性、
                             低容量的固态存储器产品,量产 38/24 纳米 NAND 产
            NAND Flash       品;自主研发的 24 纳米 SLC 技术处于国际领先地位, 工艺        自主    约 32
       11
            存储工艺         减小了相应容量的存储器尺寸,帮助客户缩减成本。     优化       研发      人
                             目前下一代 1xNAND 平台的开发稳步推进中。产品主
                             要应用于嵌入式系统,如 5G 基站、光纤调制解调器等。

                             中芯国际有超过 10 年的模拟芯片(含电源管理芯片)
                             大规模生产经验,技术涵盖了 0.35 微米到 0.15 微米。
                             除了保持面向手机和消费类电子的低压 BCD 工艺平台
            90 纳米 BCD                                                            工艺    自主    约 15
       12                    持续升级外,针对工业和汽车应用的中高压 BCD 平台
            工艺平台                                                               优化    研发      人
                             和车载 BCD 平台也在开发中,同时开展了先进的 12
                             英寸工厂 90 纳米 BCD 工艺平台开发,为高数字密度
                             和低导通电阻的电源管理芯片提供解决方案。
   注:主要在研项目的年度研发总预算约为 51,600 万美元

    2、披露报告期内研发投入情况

    (1)公司的研发投入情况

    报告期内,公司研发费用及占营业收入的比重如下:

                                                                                          单位:万元
              项目                          2019 年度            2018 年度            2017 年度
            研发费用                           474,445.66            447,090.01            357,607.78
            营业收入                          2,201,788.29          2,301,670.68          2,138,982.24
      占营业收入的比例                            21.55%                19.42%                16.72%

    (2)研发投入的构成

    报告期内,公司的研发投入构成情况如下:

                                                                                          单位:万元
                          2019 年度                     2018 年度                  2017 年度
    项目
                      金额           比例         金额          比例           金额           比例
折旧费用          143,962.01         30.34%      90,285.68      20.19%       75,777.62        21.19%
研究测试费用      131,442.18         27.70%     184,458.55      41.26%       111,458.31       31.17%
职工薪酬费用         92,862.78       19.57%      84,599.96      18.92%       70,449.86        19.70%
电脑及软件费         38,903.37        8.20%      32,238.38          7.21%    32,825.33            9.18%
原材料及低值
                     19,560.24        4.12%       8,776.40          1.96%    14,150.61            3.96%
易耗品
维修维护费           15,890.07        3.35%      10,007.50          2.24%    16,440.99            4.60%
摊销费用             10,929.93        2.30%      12,762.13          2.85%    14,358.18            4.02%
燃料动力及水
                     10,916.13        2.30%       7,701.76          1.72%      4,025.63           1.13%
电费


                                                184
中芯国际集成电路制造有限公司                                                              招股意向书


                          2019 年度                    2018 年度                  2017 年度
       项目
                       金额        比例           金额         比例            金额          比例
其他                   9,978.94       2.10%      16,259.64         3.64%      18,121.23       5.07%
       合计          474,445.66   100.00%       447,090.01    100.00%      357,607.78       100.00%

       报告期各期,公司研发费用分别为 357,607.78 万元、447,090.01 万元及
474,445.66 万元,呈增长趋势,复合增长率为 15.18%,主要由折旧费用、研究测
试费用、职工薪酬费用等构成。集成电路晶圆代工行业具有技术密集型的特点,
报告期内公司持续增加研发投入,使得研究测试费用等研发费用持续增加,各期
研发费用率分别为 16.72%、19.42%及 21.55%。报告期内,公司相继实现了 28
纳米 HKC+工艺及第一代 14 纳米 FinFET 工艺的研发并实现量产,第二代 FinFET
工艺的研发也在稳步进行中,并不断拓展成熟工艺应用平台。

(四)公司研发人员情况

       1、研发人员数量情况

       公司研发人员数量占公司总人数保持在 10%以上,报告期内研发人员数量及
占公司员工总数的比例情况如下:

              项目            2019 年 12 月 31 日      2018 年 12 月 31 日      2017 年 12 月 31 日
员工总人数(人)                              15,795                 17,671                   17,728
研发人员人数(人)                             2,530                  2,096                    1,941
研发人员占员工总数的
                                          16.02%                    11.86%                   10.95%
比例

       2、核心技术人员情况

       公司核心技术人员共 5 名,分别为 ZHAO HAIJUN(赵海军)(联合首席执
行官)、梁孟松(联合首席执行官)、ZHOU MEISHENG(周梅生)(执行副总裁)、
ZHANG XIN(张昕)(运营与工程资深副总裁)、吴金刚(技术研发副总裁)。具
体情况参见本招股意向书“第五节 发行人基本情况”之“十、董事、高级管理
人员与核心技术人员情况”。

       3、报告期内核心技术人员的主要变动及影响

       报告期内,公司新增核心技术人员梁孟松和 ZHOU MEISHENG(周梅生),
其于 2017 年加入公司技术团队,进一步提升了核心研发团队的综合实力。


                                                185
中芯国际集成电路制造有限公司                                  招股意向书


(五)保持技术创新的机制及技术创新安排

    公司通过制定“市场为导向,瞄准世界先进的产品制程技术”的研发战略,
建立先进的研发体系,引进优秀的研发人才,实现技术创新的不断涌现与技术储
备的丰富扩增。

    1、“市场为导向,瞄准世界先进的产品制程技术”的战略指引技术研发

    (1)研发战略

    公司以“市场为导向,瞄准世界先进的产品制程技术”为研发战略,坚持自
主研发的道路,整体研发目标为让公司的技术创新能力尽快提升至最具竞争力的
世界一流水平。

    (2)研发方向及目标

    公司设立了整体研发方向及目标:加快发展先进逻辑技术及其衍生技术,同
时发展有高附加值的差异化产品,以保证公司持续成长,成为具备国际竞争力的
一流企业。

    (3)研发策略

    公司坚持自主研发,采用“研发——生产一体”的支撑体系,加快研发速度,
与战略客户紧密合作,以市场为导向,建立有效的项目管理体系和员工激励机制
以鼓励和促进企业各项研发工作高效有序地进行。

    2、建立先进的研发体系,保证立项项目成功转化

    公司成立了专门的研发团队,建立了完善的研发流程与先进的研发支撑体系,
不断加大对成熟制程、先进制程和特殊工艺的研发投入,夯实了技术基础,构建
了技术壁垒,并确保研发项目成功转化,为推动集成电路产业发展贡献力量。

    (1)研发机构

    公司设立了扁平化管理的研发中心部门,主要职责包括:完善已开发批量投
产的常规产品和特殊定制产品,解决公司产品在生产过程中遇到的重大技术问题;
为其代工客户和其它芯片加工厂及机构提供光掩模制造服务;协助生产和工程部



                                  186
中芯国际集成电路制造有限公司                                   招股意向书

门解决研发中心开发的产品在采购、质检、生产和组装过程中遇到的技术问题;
制定新产品的研发计划;配合市场及销售部门完成有特殊需要的定制类项目的产
品研发;为公司的重大项目和战略项目提供必要技术支持等。

    公司另设有南方特色工艺研发以及北方特色工艺研发两个组织,分别专注于
8 英寸和 12 英寸成熟工艺平台拓展,满足境内外客户对特色工艺平台的技术研
发要求,其技术节点覆盖 0.35 微米到 22 纳米,在逻辑电路、电源/模拟、高压驱
动、嵌入式非挥发性存储、非易失性存储、混合信号/射频、图像传感器等众多
领域,为客户提供通用和定制化的技术解决方案。

    (2)研发流程

    公司建立了规范的以项目为核心的研发管理体系,并建立了一套完善的研发
流程管理制度。研发流程具体情况如下:




    公司以项目为基础,对研发项目的立项有严格的审批流程,成立了 NPRC
(New Project Review Committee)、产销研会议、董事长-CEO 联席会三大会议组
织,用来审批公司新项目的立项。

    项目责任人负责整个项目的总体运作,建立高效有序的横向协作机制,各个
职能部门形成团队分工合作。公司结合信息化建设,建立了项目内部管理系统,
归集企业研发、试产等产生的各类研发费用。其中,研发人员对于各研发项目的
投入由时间管理模块收集并归类。公司建立的可追溯可管控的项目管理体系提高
了研发项目的管理水平和效率。

    (3)研发支撑体系

    公司建立了先进的“研发——生产”为一体的支撑体系,为企业技术研究发
展奠定了基础条件。

    公司建立了目前中国大陆最先进的 12 英寸“研发——生产”一体化集成电
路研发生产线,配备了最先进的浸润式光刻机、数百套研发生产设备和在线测试
设备,为公司的先进技术研发奠定了扎实的基础。


                                   187
中芯国际集成电路制造有限公司                                    招股意向书

    该研发生产线拥有中国大陆最先进的 14 纳米 FinFET 生产能力和第二代
FinFET 工艺技术研发能力。FinFET 技术是目前中国大陆集成电路产业中最前沿
的技术水平,其研发难度大,研发时间长,支出的研发经费和需要的支撑条件也
非常高。为了完成此项研发任务,公司依托该研发生产线,高效合理地使用研发
经费和设备等支撑条件,在设备使用、试验片流片等方面通盘考虑,有效地保证
了研发项目的顺利进行。

    3、持续引进优秀的研发人才,加大人才、科研激励

    公司始终重视人才队伍的培养和建设,不断引进高端人才,通过考核后可在
关键岗位任职,同时重视对有潜力员工的培养与选拔,形成不断扩大的优秀研发
团队与深厚的人才储备。例如,公司为增强先进制程研发实力,在 2017 年引入
核心技术人员梁孟松。截至 2019 年 12 月 31 日,公司的硕士及博士人员占比为
20.53%,报告期各期末,公司研发人员分别为 1,941 人、2,096 人及 2,530 人,占
当期公司总人数的比例分别为 10.95%、11.86%及 16.02%。

    公司建立了完善的研发人员激励与考核机制,并实行研发责任制,将研发整
体目标逐级分解并最终落实到个人目标。同时,公司建立结果导向制的考核机制,
对成绩优异的员工给予提升、加薪、股票期权激励计划、绩效奖金等。

    公司注重对员工的培养。公司每位员工每年根据自己的工作需要,编制内部
培训计划,选取需要参加的培训课程,并完成 24-40 小时不等的培训时数。除技
术课程外,针对工作和员工需要,公司开设了技术管理等多方面的特色课程,帮
助员工提升技术水平,扩展员工的视野。公司为技术人员提供外出培训机会,通
过参加各种国内外研讨会,使技术人员对半导体行业及相关技术能够有更深刻的
了解。公司奖励员工的主动创新,调动员工的工作积极性,激发技术创新的动力,
保证公司内部持续研发创新,不断获取新研发项目的立项来源。


(六)技术储备

    公司的技术储备情况参见本节之“七、公司核心技术与科研、研发情况”之
“(一)公司的核心技术”之“1、公司核心技术及技术来源”及“(三)公司在
研项目情况”之“1、公司在研项目情况”。



                                   188
中芯国际集成电路制造有限公司                                    招股意向书


八、公司境外经营情况

    报告期内,公司曾经通过二级全资子公司中芯 SMIC HK 持有 LFoundry70%

的股权。LFoundry 于意大利阿韦扎诺进行研发、生产、销售活动。基于经营及

整体发展的考虑,经 2019 年 7 月 29 日的董事会批准,公司以 112,816,089 美元

的价格,将 SMIC HK 的全部股权及其持有的 LFoundry70%股权转让予无锡锡产

微芯半导体有限公司。交易完成后,SMIC HK 及 LFoundry 不再纳入公司合并范

围。

    截至 2019 年 12 月 31 日,公司设立在境外的控股子公司主要为持股平台、

境外销售平台以及为维护各地客户关系设立的市场推广办公室,不存在境外生产

性经营资产。公司的境外经营主体详细情况参见本招股意向书“第五节 发行人

基本情况”之“七、公司控股子公司及参股公司情况”。




                                   189
中芯国际集成电路制造有限公司                                    招股意向书


                     第七节 公司治理与独立性

一、公司治理相关制度的建立健全和运行情况

    公司设立以来,已严格按照开曼群岛法律的规定,结合公司实际情况制定了

《公司章程》,建立了股东大会、董事会等基础性制度,开曼群岛法律不要求公

司设立监事会。

    公司严格遵循《香港上市规则》,多年来形成了规范的公司治理结构。公司

股东大会、董事会按照开曼群岛法律、《香港上市规则》《公司章程》和相关规定,

独立有效地进行运作并切实履行职责。公司董事会下设审计委员会、薪酬委员会、

提名委员会和战略委员会,分别在审计、薪酬、提名和战略方面协助董事会履行

职能。此外,公司聘任了五名专业人士担任公司独立非执行董事,参与决策和监

督,增强董事会决策的客观性、科学性。

    公司根据开曼群岛法律设立,并在香港联交所上市,其适用的相关规定在多

个方面与中国境内适用的法律、法规和规范性文件有所不同。

    根据《科创板上市规则》,在境内发行股票并在科创板上市的红筹企业,其

股权结构、公司治理、运行规范等事项适用境外注册地公司法等法律法规,其投

资者权益保护水平包括资产收益、参与重大决策、剩余财产分配等权益,总体上

应不低于境内法律法规的要求。基于上述原则,结合《开曼群岛公司法》《香港

上市规则》《上市公司章程指引》《科创板上市规则》等注册地、境外上市地、中

国境内适用法律、法规和规范性文件的具体规定,公司对《公司章程》《内部审

计章程》进行了修订,并制定了《股东大会议事规则》《董事会议事规则》《对外

担保管理制度》《对外投资管理制度》《关联(连)交易管理制度》《信息披露境

内代表工作细则》《募集资金管理制度》《投资者关系管理制度》《信息披露事务

管理制度》等具体制度和细则。

    以上《公司章程》及治理制度已经 2020 年 6 月 1 日召开的股东特别大会审

议通过。



                                   190
中芯国际集成电路制造有限公司                                  招股意向书


(一)股东大会

    《公司章程(A 股上市后适用稿)》和《股东大会议事规则》对股东大会的

职权、召开、通知、提案、表决和决议等事项作出了明确的规定。

    1、股东大会的职权

    根据《公司章程(A 股上市后适用稿)》和《股东大会议事规则》的规定,

公司股东大会可行使下列职权:

    (1)审议批准公司授权发行股份总数的变动及增加已发行在外股份总数(包

括发行股票(含优先股)、可转换为股份的证券、认股权证等影响公司股本的证

券);

    (2)将其所有或任何股本合并及分拆成为面值较其现有股份大的股份;

    (3)透过拆细其现有股份或任何现有股份,将其全部或任何部分股本分拆

成为面值少于《公司章程》所厘定或无面值的股份;

    (4)注销于有关决议案通过当日仍未被任何人士认购或同意认购的任何股

份;

    (5)任命及罢免董事(在《公司章程》中允许董事会任命或罢免的情况除

外);批准在合同规定权益外,因免职或退休而向任何董事或前任董事支付任何

补偿;

    (6)审议批准公司的利润分配方案和弥补亏损方案;

    (7)审议批准董事会的年度报告;

    (8)决定公司业务的根本变化;

    (9)对公司聘用、解聘负责年审的核数师作出决议;

    (10)批准公司向并表企业以外的主体提供担保,或向构成《香港上市规则》

项下“关连人士”的并表企业提供担保(符合豁免条件的除外);




                                    191
中芯国际集成电路制造有限公司                                  招股意向书


    (11)审议批准公司的股权激励计划(包括股票期权、限制性股票及股票增

值权等);

    (12)审议批准适用法律法规、交易所规则等规定的应当由股东大会批准的

重大交易;

    (13)审议批准适用法律法规、交易所规则等规定的应当由股东大会批准的

关联(连)交易;

    (14)在遵守公司法其他要求的基础下,减少公司已发行在外股份总数(包

括公司股东在股东大会上授予的一般授权未涵盖的股票赎回或回购);

    (15)批准修改《公司章程》,或者通过新的《公司章程》;

    (16)审议批准公司在一年内购买、出售重大资产超过公司最近一期经审计

总资产 30%的事项;

    (17)批准公司合并、自愿清盘以及变更公司形式等事项;

    (18)批准主动撤回股票在现有证券交易所的交易,并决定不再于现有证券

交易所交易,或转而申请在其他证券交易场所交易或转让;

    (19)适用法律法规、交易所规则、《公司章程》及本规则中规定的其他职

权。

    在适用法律法规、交易所规则允许范围内,股东大会可通过适当程序将有关

职权授权给公司董事会行使。

    2、股东大会的召开

    公司《股东大会议事规则》中关于股东大会召开的主要规定如下:

    (1)未有足够法定人数出席的任何股东大会不得处理任何事务。股东大会

的召开应有 2 名以上股东亲自或委派代表出席以满足法定人数的要求,但若公司

在某个时期仅有 1 名股东,1 名股东亲自或委派代表出席股东大会的,即满足该

时期召开的股东大会的法定人数要求。


                                  192
中芯国际集成电路制造有限公司                                    招股意向书


    (2)倘大会指定举行时间后一小时出席人数仍未达法定人数,则应股东要

求而召开的大会须解散,而其他股东大会须押后至下星期的同一日在相同时间及

地点或董事会决定的其他时间或其他地点举行续会。

    (3)若股东大会以现场会议形式召开,董事会应当按照法律、行政法规、

有关证券交易所的规则或《公司章程》的规定,采用安全、经济、便捷的网络或

其他方式为股东参加股东大会提供便利。董事会可全权酌情决定不在任何地点举

行有关大会,改为纯粹通过网络或其他远距离通讯方式举行。

    (4)有权出席股东大会并于会上投票但并非以现场方式出席股东大会的股

东及代理人视为亲身出席股东大会并于会上投票,不论有关大会是于指定地点举

行或纯粹以远距离通讯方式举行。

    (5)董事长须以大会主席身份主持公司的每次股东大会,若公司不设董事

长,或在任何股东大会上董事长在大会指定举行时间后一小时内仍未出席或不愿

出任大会主席,则出席大会的董事须另选一名董事担任主席,倘所有出席大会的

董事均拒绝担任大会主席,则出席大会的股东须推选其中一人担任大会主席。

    (6)主席可(在根据《股东大会议事规则》妥为召开的任何股东大会同意

下)及须(倘有关大会如此指示)将会议押后,改为于其他时间及地点举行续会。

除于大会上未完成而于续会继续处理的事务外,在任何续会上不得处理其他事务。

倘股东大会(并非续会)被押后三十(30)日或以上,则须发出续会通告;除上

述者外,毋须就续会或将于股东大会续会处理的事务发出任何通告。除原应于大

会上处理而于续会继续处理的事务外,在任何续会上不得处理其他事务。

    (7)于任何股东大会上提呈大会表决的决议案可按股数投票表决。下列人

士可要求按股数投票表决:(i)大会主席,(ii)最少五名出席股东或(iii)持有

有权出席大会并于会上投票的全体股东总投票权十分之一(1/10)的股东。主席

可善意允许就仅与上市规则指定的程序性或行政性事项有关的决议案进行举手

表决,并撤回按股数投票表决的要求。




                                   193
中芯国际集成电路制造有限公司                                  招股意向书


    (8)按股数投票表决须按主席指示的方式及时间和地点进行,且不得迟于

接纳投票表决的大会或续会日期起计三十(30)日。毋须就并非实时按股数投票

的表决发出通知。按股数投票表决的结果须视为要求按股数投票表决的股东大会

决议案。按股数投票表决或取决于有关表决的事务以外的任何其他事务,可于按

股数投票表决前继续处理。为免生疑问,倘按股数投票表决于要求按股数投票表

决的大会后进行,则所有股东(不论有否亲身或透过受委代表出席该大会)均可

参与该项表决。

    (9)即使赞成及反对的票数相等,接纳按股数投票表决的股东大会的大会

主席亦无权投第二或决定票。

    3、股东大会的通知

    公司《股东大会议事规则》中关于股东大会的通知的主要规定如下:

    (1)股东周年大会必须给予至少二十一(21)日通知,方可召开;任何其

他股东大会(包括股东特别大会),则须给予至少十四(14)日通知,方可召开。

通告期不包括送达或视作送达通告日期及发出通告日期,而通告须列明大会举行

时间、地点与议程、决议案详情及拟议事项的一般性质。

    (2)召开股东周年大会的通告须指明该会议为股东周年大会,而召开会议

以通过特别决议案的通告须指明拟提呈的特别决议案。每届股东大会的通告须发

予所有股东,唯按照《股东大会议事规则》条文或所持股份的发行条款规定无权

获得本公司该等通告者除外。

    (3)若公司股东大会的通知期少于《股东大会议事规则》规定的时间,但

获得下述同意,则公司股东大会仍视为已适当召开:如属股东周年大会,则获全

体有权出席及投票的股东(包括股东代理人)同意;如属任何其他股东大会,则

有权出席该大会并于会上投票的股东或其受委代表人数的大多数(合共持有全体

股东于该大会的总表决权至少 95%)。

    (4)公司应在股东大会通知的合理显眼位置上列出声明,说明凡有权出席

大会并于会上投票的股东均有权委派一名代表出席并代其投票,而该代表毋须是


                                     194
中芯国际集成电路制造有限公司                                  招股意向书


公司股东。如股东为法团,则可委派一名代表出席本公司的任何股东大会,而如

该法团已委派代表出席任何会议,则视为亲自出席论。

    (5)因意外遗漏而未能向有权收取通知的任何人士发送任何有关通知,或

任何该等人士未能收到任何有关通知,均不会导致任何有关大会上通过的任何决

议或任何程序失效。

    (6)在委任代表文件与通知同时被发送的情况下,因意外遗漏而未能向有

权收取通知的任何人士发送该文件,或该等人士未能收到该文件,均不会导致任

何有关大会上通过的任何决议或任何程序失效。

    4、股东大会的提案

    公司《股东大会议事规则》中关于股东大会的提案的主要规定如下:

    (1)提案的内容应当属于股东大会职权范围,有明确议题和具体决议事项,

并且符合法律、行政法规和《公司章程》的有关规定。

    (2)除以下事务外,不得在任何股东大会处理任何其他事务:

    ① 董事会(或任何获正式授权的委员会)或按其指示发出的大会通知(或

其任何增补)中所列明的事务;

    ② 董事会(或任何获正式授权的委员会)或按其指示以其他方式适当提交

股东周年大会处理的事务;及

    ③ 股东以其他方式适当提交股东周年大会处理的事务,该等股东根据《公

司章程》及《股东大会议事规则》发出通知,并且在发出通知之日以及审议其提

议事项的有关股东大会的股权登记日均应为记录在册的公司股东,且单独或合并

持有公司发行在外有表决权股份总数的 3%以上。

    (3)除任何其他适用规定外,为使股东将事务妥为提交股东周年大会处理,

股东须以适当书面形式向董事会办公室发出适当通知。

    (4)就公司股东提名候选董事以外的所有事宜而言,有关股东的通知须于

上年度股东周年大会举行满一周年前不少于六十(60)日但不超过九十(90)日

                                  195
中芯国际集成电路制造有限公司                                    招股意向书


的期间内,送往公司董事会办公室收启。若股东周年大会日期较上述周年日期提

前超过三十(30)日或押后超过六十(60)日,则前述股东通知不得早于有关股

东周年大会前九十(90)日,亦不得迟于有关股东周年大会前六十(60)日或首

次公布该大会日期当日后第十(10)日(以较后者为准)营业结束时送达。

    (5)股东提出提案的通知须以适当书面形式发出,对于该名股东拟提交股

东周年大会处理的各项事宜,必须载有以下内容:

    ① 欲提交股东周年大会处理的事务的简介以及在股东周年大会处理该事务

的理由;

    ② 该名股东的姓名及记录地址;

    ③ 该名股东实际拥有或登记于其名下的公司股份的类别或系列及数目;

    ④ 该名股东与任何其他一名或多名人士(包括彼等的姓名)就该名股东拟

提呈事务所订立的所有安排或谅解的说明以及该名股东在该项事务中的任何重

大利益;及

    ⑤ 声明表示该名股东拟亲自或通过代理人出席股东周年大会,以将有关事

务提交大会处理。

    (6)如果股东周年大会主席认为有关股东提交股东周年大会处理的事务并

未根据上述程序适当提交,则主席须向大会宣布该项事务并未适当提交大会处理,

且不得处理该项事务。

    (7)公司股东如欲提名候选董事,则必须满足以下条件:(1)于《股东大

会议事规则》所规定由该名股东发出通知的日期,及确定有权于有关股东周年大

会投票的股东的股权登记日均为记录在册的股东,且在提名非独立董事候选人的

情况下,须单独或者合并持有公司发行在外有表决权股份总数的 3%以上;在提

名独立董事候选的情况下,须单独或者合并持有公司发行在外有表决权股份总数

的 1%以上;及(2)以适当书面形式发出适时通知(以下简称“提名董事通知”)。

倘股东在股东大会上仅有权就特定类别或组别的董事投票表决,则该名股东在有

关大会上提名一名或多名人士候选董事的权利,仅限于该类别或组别的董事。

                                    196
中芯国际集成电路制造有限公司                                  招股意向书


    (8)有关股东应将提名董事通知送往公司董事会办公室。若公司为选举一

名或以上董事加入董事会而召开股东特别大会,则有权在该大会上就选举有关董

事投票表决的任何股东,在符合上文所述规定的情况下,可提名一名或多名人士

(视情况而定)参选公司大会通告所列明的职位。股东递交提名董事通知的期限,

开始时间不得早于寄发指定进行有关选举的大会通告翌日,结束时间亦不得迟于

有关大会举行日期前七(7)日,而该期限最短须为七(7)日。

    (9)股东提交的提名董事通知须以适当书面形式发出,必须载有以下内容,

且有关通知须附有每名拟被提名人士的书面同意,表示同意作为被提名人士以及

在当选后担任董事:

    ① 被提名人的姓名、年龄、办公地址及住址,被提名人的主要职业或受雇

工作,被提名人实益拥有或登记于其名下的公司股份的类别或系列及数目(如有),

及根据任何交易所规则须披露有关被提名人的任何其他资料;

    ② 该名股东的姓名及登记地址;

    ③ 该名股东实益拥有或登记于其名下的公司股份的类别或系列及数目;

    ④ 该名股东与每名拟被提名人士及任何其他一名或多名人士(包括彼等的

姓名)所订立的所有安排或谅解(据此该名股东须作出有关提名)的说明;

    ⑤ 声明表示该名股东拟亲身或透过代理人出席股东周年大会,以提名其通

知所述的人士;及

    ⑥ 根据任何交易所规则须披露有关该名股东的任何其他资料。

    (10)如果股东周年大会主席认为董事提名并未根据上述程序作出,则主席

须向大会宣布,且不得将被提名人提交大会表决。

    5、股东大会的表决和决议

    (1)在任何一类或多类股份当时所附任何权利或限制的规限下,亲身或透

过受委代表出席的每名记录在册的股东,可就股东名册内以其名义登记的每股股

份投一票。


                                    197
中芯国际集成电路制造有限公司                                  招股意向书


    (2)根据交易所规则或有关指定证券交易所的规则,倘任何股东不得就任

何个别决议案投票,或被限制只可投票赞成或反对任何个别决议案,该股东或其

代表违反该规定或限制而作出的任何投票不应计算在内。

    (3)如属记录在册的联名持有人,排名较前者的投票(不论亲身或透过受

委代表作出)方获接纳,而其他联名持有人的投票一律不获接纳,就此而言,排

名乃按股东名册内该等联名持有人的排名次序而定。

    (4)精神不健全或具管辖权的任何法院颁令指其精神错乱的股东,可由其

受托监管人、财产接管人、财产保佐人或由有关法院指定具有受托监管人、财产

接管人或财产保佐人性质的其他人士投票,而任何上述受托监管人、财产接管人、

财产保佐人或其他人士可透过受委代表投票。

    (5)于任何股东大会的记录日期登记为本公司股东的人士方有权于有关大

会上投票。

    (6)任何人士不得对其他人士行使或宣称有权行使投票权或获准投票的资

格提出异议,除非该名人士在有关表决的大会或续会上行使或宣称行使其投票权

或该异议是在作出有关表决的大会或续会上提出,则不在此限;凡在有关大会中

未被驳回的表决,就所有目的而言均有效。凡有关投票资格或表决被驳回的争议,

均须交由大会主席决定,而该决定即为最终决定。

    (7)股东大会决议分为普通决议案和特别决议案。普通决议案指由有权投

票表决的股东亲身或(倘允许委任代表)由受委代表或(倘股东为法团)彼等的

正式授权代表于股东大会上投票并以简单大多数票数通过的决议案。特别决议案

指(i)由有权投票表决的股东亲身或(倘允许委任代表)由受委代表或(倘股

东为法团)彼等的正式授权代表于股东大会上投票并至少获四分之三(3/4)票

数通过的决议案,且列明拟以特别决议案形式提呈有关决议案的有关大会通告已

妥为发出或(ii)由所有有权于公司股东大会上投票表决的股东以书面形式批准

的决议案。




                                  198
中芯国际集成电路制造有限公司                                  招股意向书


    (8)除法律、行政法规规定或者《公司章程》规定应当以特别决议通过以

外的其他事项由股东大会以普通决议通过。下列事项由股东大会以特别决议通过:

    ① 在遵守《开曼群岛公司法》其他要求的基础下,减少公司已发行在外股

份总数(包括公司股东在股东大会上授予的一般授权未涵盖的股票赎回或回购);

    ② 批准修改《公司章程》,或者通过新的《公司章程》;

    ③ 审议批准公司在一年内购买、出售重大资产超过公司最近一期经审计总

资产 30%的事项;

    ④ 批准公司合并、自愿清盘以及变更公司形式;

    ⑤ 批准公司向合并报表范围外公司提供担保,且一年内担保金额超过公司

最近一期经审计总资产 30%的;

    ⑥ 批准主动撤回股票在现有证券交易所的交易,并决定不再在现有证券交

易所交易,或转而申请在其他证券交易场所交易或转让。

    6、股东大会的运行情况

    报告期内,公司共召开过 9 次股东大会。公司严格按照有关法律、法规、规

范性文件和《公司章程》的规定规范运作,严格执行股东大会制度,股东依法行

使股东权利。

(二)董事会

    《公司章程(A 股上市后适用稿)》和《董事会议事规则》对董事会的职权、

召开、决议等事项作出了明确的规定。

    1、董事会的构成

    公司设董事会,目前由 14 名董事组成,其中执行董事 4 名,非执行董事 5

名,独立非执行董事 5 名,设董事长 1 名。其中,董事须划分为三类,分别称为

第一类、第二类及第三类。每届股东周年大会上依次只有一个类别的董事进行退

任重选,重选连任后将出任三年完整任期。


                                  199
中芯国际集成电路制造有限公司                                 招股意向书


    截至报告期末,公司董事会包括四名第一类董事:执行董事周子学、执行董

事高永岗、非执行董事童国华及独立非执行董事 WILLIAM TUDOR BROWN;

五名第二类董事:执行董事 ZHAO HAIJUN(赵海军)、非执行董事陈山枝、非

执行董事路军、独立非执行董事刘遵义及独立非执行董事范仁达;五名第三类董

事:执行董事梁孟松、非执行董事任凯、非执行董事周杰、独立非执行董事

JINGSHENG JASON CONG(丛京生)及 KWANG-LEEI YOUNG(杨光磊)。

    2、董事会的职权

    《公司章程(A 股上市后适用稿)》和《董事会议事规则》的规定,公司董

事会行使下列职权:

    (1)召集股东大会,执行股东大会的决议;

    (2)制订公司的利润分配方案和弥补亏损方案;

    (3)拟订公司重大收购;

    (4)审议下列关联(连)交易事项:

    ①公司(包括并表企业)与关联(连)自然人发生的成交金额在 30 万元人

民币或等值美元以上的交易(向公司或子公司提供无质押担保除外);与关联法

人发生的成交金额占本公司最近一期经审计总资产、收入或市值 0.1%以上的交

易(向公司或子公司提供无质押担保除外);

    ②根据《香港上市规则》项下关连交易比率测试结果,应当提交董事会审议

的关连交易(符合豁免条件的除外)。

    (5)审议下列重大交易事项:

    ①根据《科创板上市规则》的规定,公司发生的交易(提供担保除外)达到

下列标准之一的,应当提交董事会审议:

   (a)交易涉及的资产总额(同时存在账面值和评估值的,以高者为准)占

公司最近一期经审计总资产的 10%以上;



                                     200
中芯国际集成电路制造有限公司                                  招股意向书


   (b)交易的成交金额占公司市值的 10%以上;

   (c)交易标的(如股权)的最近一个会计年度资产净额占公司市值的 10%

以上;

   (d)交易标的(如股权)最近一个会计年度相关的营业收入占上市公司最

近一个会计年度经审计营业收入的 10%以上,且超过人民币 1,000 万元或等值美

元;

   (e)交易产生的利润占上市公司最近一个会计年度经审计净利润的 10%以

上,且超过人民币 100 万元或等值美元;

   (f)交易标的(如股权)最近一个会计年度相关的净利润占上市公司最近一

个会计年度经审计净利润的 10%以上,且超过人民币 100 万元或等值美元。

   ②公司发生《香港上市规则》第 14 章规定的需提交董事会审议的交易,应

当提交董事会审议。

    (6)批准公司向合并报表范围内不构成《香港上市规则》下“关连方”的

企业提供担保;

    (7)聘任或者解聘公司首席执行官、公司秘书及其他高级管理人员,并决

定其报酬事项和奖惩事项;

    (8)向股东大会提请聘请或更换负责公司年审的核数师;

    (9)制订公司增加或者减少授权发行股份数及已发行股份数方案;

    (10)制订《公司章程》的修改方案;

    (11)制订公司的治理实践及政策;

    (12)决定公司除发行需要获得股东批准的可换股债券外的一般债券发行事

项;

    (13)决定董事的报酬事项;




                                  201
中芯国际集成电路制造有限公司                                   招股意向书


    (14)委任董事以填补临时空缺或增加现有董事人数,唯董事总人数(不包

括替任董事)于任何时间不得超过根据公司章程所厘定的数目;

    (15)批准年度财务预算方案;

    (16)受限于适用的法律规定,决定变更公司募集资金用途;

    (17)适用法律法规、交易所规则、《公司章程》等规定的其他职权。

    在适用法律法规、交易所规则允许范围内,董事会可通过适当程序将有关职

权授权给公司管理层行使。

    3、董事会的召开

    公司《董事会议事规则》中关于董事会的召开的主要规定如下:

    (1)董事会每年至少召开四次会议,约每季度一次。

    (2)过半数董事(至少其中一名为执行董事)亲身或透过受委代表出席会

议,即构成董事会处理事务所需的法定人数。倘会议开始时有法定人数出席,则

即使有董事中途退席仍可继续处理事务,唯所采取的任何行动须至少获有关会议

所需法定人数的大多数批准。就本条而言,由董事委任的替任董事或受委代表,

在作出委任的董事缺席的情况下,须计入会议的法定人数。

    (3)董事会或其任何委员会成员可透过电话会议或可让所有与会人士对话

及听见他人发言的其他通讯设备参与董事会或有关委员会会议,根据本条规定参

与会议即属亲身出席该会议。以上述方式参与会议的人士将被视为亲身出席,并

有权于会上投票及计入法定人数。除非董事会另有决定,否则会议须视为于会议

开始时主席身处的地点举行。

    4、董事会的决议

    公司《董事会议事规则》中关于董事会的决议的主要规定如下:

    (1)除《公司章程》另有规定外,于任何会议上提出的问题须由出席有关

会议的全体董事(包括替任董事)的过半数通过。董事会决议的表决,实行一人


                                   202
中芯国际集成电路制造有限公司                                  招股意向书


一票。唯倘替任董事的委任人出席有关会议,则该名替任董事的票数不获计算在

内。

    (2)董事与董事会会议决议事项所涉及的企业有关联(连)关系的,关联

(连)董事应当回避表决,也不得代理其他董事行使表决权;该董事会会议由过

半数的非关联(连)董事出席即可,董事会会议所作决议须经全体非关联(连)

董事过半数通过。出席董事会会议的非关联(连)董事不足三人,公司应当将该

事项提交股东大会审议。除《公司章程》特别指明的例外情况外,董事不得就任

何通过其本人或其任何紧密联系人(定义见《科创板上市规则》及《香港上市规

则》)拥有重大权益的合约、交易、贷款、安排或任何其他建议的董事会决议进

行投票。

    (3)对于董事会权限范围内的担保事项,除应当经全体董事的过半数通过

外,还应当经出席董事会会议的三分之二以上董事同意。

    (4)董事会根据中国大陆地区相关法律法规的规定或者股东大会的授权审

议股份回购事项的,应当经三分之二以上董事出席的董事会会议决议。

    5、董事会的召开情况

    报告期内,公司共召开过 20 次董事会。公司严格按照有关法律、法规、规

范性文件和《公司章程》的规定规范运作,董事依照有关法律、法规、规范性文

件和《公司章程》的规定行使职权、勤勉尽职地履行职责和义务。

    6、执行董事、非执行董事、独立非执行董事的职责权限划分

    公司按照香港联交所的要求设置了 4 名执行董事、5 名非执行董事以及 5 名

独立非执行董事。一般而言,执行董事指在公司内部全职担任管理职位的董事,

非执行董事指未在公司内部担任其他职位的董事。根据《香港上市规则》等规定,

独立非执行董事的职责权限相对于其他董事较为特殊,除拥有其他董事一般职责

权限外,还承担一些特殊职责,包括:对需独立股东批准的关联(连)交易、公

司自动在香港联交所撤回上市地位、需获得股东批准的分拆上市建议、在下一次




                                  203
中芯国际集成电路制造有限公司                                 招股意向书


年度股东大会之前更新一般性授权向股东给予意见;年度审核持续关联(连)交

易等。

(三)独立董事机制及运行情况

    目前,公司聘任了五名独立非执行董事,分别为 WILLIAM TUDOR BROWN、

JINGSHENG JASON CONG(丛京生)、刘遵义、范仁达和 KWANG-LEEI YOUNG

(杨光磊)。公司独立非执行董事的主要职责包括参与董事会会议并在涉及公司

策略、政策、公司表现及操守准则等方面提供独立的意见,以及在出现潜在利益

冲突时发挥牵头引导作用并及时监察汇报公司表现。公司独立非执行董事发挥其

在业务方面的专长,对公司的经营管理、战略发展、内部控制及募集资金投资项

目等方面提出了相应意见与建议,对完善公司治理结构和规范公司运作发挥了积

极作用,有利于完善法人治理结构,保护公司及公司中小股东的利益,提高科学

决策能力。

(四)公司秘书机制及运行情况

    公司设联席公司秘书,由董事会聘任或解聘,负责公司股东大会和董事会会

议的筹备、文件的保管以及公司股东资料的管理,办理信息披露事宜。目前,联

席公司秘书为高永岗先生、刘巍先生。

    报告期内,联席公司秘书依照相关规定履行职责,确保公司股东大会和董事

会依法召开会议、依法行使职权,及时向公司股东、董事通报公司的有关信息,

建立与股东的良好关系,对公司治理结构的完善和股东大会、董事会正常行使职

权发挥了重要的作用。

(五)董事会专门委员会制度

    公司董事会下设审计委员会、薪酬委员会、提名委员会、战略委员会四个专

门委员会,各专门委员会对董事会负责,专门委员会成员全部由董事组成。

    (1)审计委员会




                                 204
中芯国际集成电路制造有限公司                                  招股意向书


    审计委员会主要负责监督公司的会计与财务报告系统及程序、审计公司财务

报表及公司风险管理和内部监控系统。目前,审计委员会成员包括一名非执行董

事及两名独立非执行董事,分别为周杰、WILLIAM TUDOR BROWN 及范仁达,

其中由范仁达担任委员会主席。

    (2)薪酬委员会

    薪酬委员会的主要职责包括评估公司执行董事和执行高管包括股权酬金在

内的一切薪酬。目前,薪酬委员会成员包括两名非执行董事及三名独立非执行董

事,分别为童国华、周杰、刘遵义、WILLIAM TUDOR BROWN 及 KWANG-LEEI

YOUNG(杨光磊),其中由 WILLIAM TUDOR BROWN 担任委员会主席。

    (3)提名委员会

    提名委员会的主要职责包括监察董事会政策的执行与董事会的架构、人员及

组成,并向董事会提出董事委任及继任计划的建议等。目前,提名委员会包括一

名执行董事、一名非执行董事及三名独立非执行董事,分别为周子学、路军、刘

遵义、WILLIAM TUDOR BROWN 及范仁达,其中由周子学担任委员会主席。

    (4)战略委员会

    战略委员会的主要职责包括评估公司做出的战略选择,并向董事会及管理层

作出推荐意见等。目前,战略委员会包括两名非执行董事及两名独立非执行董事,

分别为陈山枝、任凯、刘遵义及 WILLIAM TUDOR BROWN,其中由陈山枝担

任委员会主席。

    自董事会设立有关专门委员会以来,各专门委员会根据《公司章程》、各专

门委员会章程的规定,分别召开了有关会议,对公司日常经营过程中出现的有关

问题进行了调查、分析和讨论,并对公司相关经营管理的制度建设、措施落实等

方面提出指导性意见。各专门委员会的日常运作、会议的召集、召开、表决程序

符合公司《公司章程》及各专门委员会章程的有关规定,规范、有效。




                                  205
中芯国际集成电路制造有限公司                                   招股意向书


(六)股份登记及股东名册管理

    中芯国际设立于开曼群岛,本次发行的股票将在上海证券交易所科创板上市,

上海证券交易所为开曼群岛金融管理局批准认可的证券交易所之一。

    《开曼群岛公司法》对公司股东身份的认定以及股东名册需要包含的必要信

息进行了相应的规定。

    《公司章程(A 股上市后适用稿)》规定,公司依据上海证券交易所提供的

凭证建立人民币普通股的股东名册;本公司人民币普通股股东名册的存放地在上

海,并委托中登公司管理;中登公司出具的 A 股股东名册是股东持有本公司人

民币普通股(A 股)股份的合法证明。名称记载于该股东名册中的股东有权行使

《公司章程》赋予公司股东的全部合法权利。本公司股东如需取得具有法律效力

的证券持有及变动记录证明,应当按中国境内相关业务规定申请办理。

(七)发行人股票以美元为面值币种、以人民币为股票交易币种在上海证券交

易所科创板进行交易

    根据《国务院办公厅转发证监会关于开展创新企业境内发行股票或存托凭证

试点若干意见的通知》(国办发[2018]21 号)的规定,试点红筹企业的股权结构、

公司治理、运行规范等事项可适用境外注册地公司法等法律法规规定。

    《开曼群岛公司法》允许以美元作为面值币种,我国现行法律法规未对在境

内发行的股票面值币种作出强制性或禁止性规定。公司本次发行的股票将在上海

证券交易所科创板上市,根据中登公司上海分公司关于科创板股票登记结算的相

关规定,科创板股票以人民币结算。

    综上,公司本次发行股票拟以美元为面值币种,并以人民币为股票交易币种

在上海证券交易所科创板进行交易。以上事项不存在违反《开曼群岛公司法》及

我国法律、行政法规规定的情形。




                                   206
中芯国际集成电路制造有限公司                                       招股意向书


二、注册地的公司法律制度、《公司章程》与境内《公司法》等法律

制度的主要差异

(一)资产收益、参与重大决策、剩余财产分配等方面投资者权益保护的主要

差异

    为本次发行上市,公司在适用法律法规允许的范围内,参照境内要求对《公

司章程》进行了修订,并对公司治理制度进行了修订和补充。虽然《科创板上市

规则》与《香港上市规则》相比,在上市公司重大交易的披露和审批、关联交易

的披露和审批、关联方范围界定等方面的规定存在一定差异,但根据本次上市后

适用的治理制度,公司在本次发行上市后对于上述差异事项将按照两地上市规则

中较为严格者执行,以保证投资者权益保护水平总体上不低于中国法律法规规定

的要求。

    发行人本次上市后适用的公司治理制度中涉及资产收益、参与重大决策、剩

余财产分配等股东核心权益的条款与有关境内要求的对比如下:

       1、投资者获取资产收益的权利

    《公司章程(A 股上市后适用稿)》和境内要求在资产收益方面没有实质差

异。根据《公司章程(A 股上市后适用稿)》,公司可以使用股份溢价进行股息分

派,这一点相较于一般境内 A 股上市公司更加灵活。公司股东大会已经批准了

《Semiconductor Manufacturing International Corporation(中芯国际集成电路制造

有限公司)利润分配政策及首次公开发行人民币普通股(A 股)并在上海证券交

易所科创板上市后三年分红回报计划》,对公司利润分配原则、利润分配形式、

现金分红条件、现金分红的比例和时间间隔等内容,及本次发行上市后三年分红

规划进行了规定,前述计划有利于保障公司全体股东的资产收益权。

       2、投资者参与重大决策的权利

    根据《公司章程(A 股上市后适用稿)》,公司董事的报酬、公司财务预算方

案、公司发行一般公司债券(不包括发行可转换债券等影响公司股本的证券)、


                                     207
中芯国际集成电路制造有限公司                                  招股意向书


公司向并表企业(构成《香港上市规则》项下“关连人士”的除外)提供担保、

变更公司募集资金用途(受限于适用的法律规定)等事项将由董事会决定,而根

据境内要求前述事项 A 股上市公司一般需提交股东大会审议。

    虽然存在上述差异,但是根据《公司章程(A 股上市后适用稿)》,关于公司

业务的根本变化、变更公司授权发行股份总数和已发行在外股份总数、修改公司

章程、改选董事、决定分配利润及弥补亏损等公司重大事项的审议权限仍归属于

股东大会;此外,公司董事由股东大会任命和罢免(在章程细则中允许董事会任

命或罢免的情况除外),其在对公司经营管理事项进行审议时,根据《香港上市

规则》和《科创板上市规则》均负有忠实和勤勉义务,并应维护公司和全体股东

的利益。因此,《公司章程(A 股上市后适用稿)》中关于股东大会和董事会的职

权划分并未损害股东参与公司重大决策的权利。

    3、投资者获取剩余财产分配的权利

    《公司章程(A 股上市后适用稿)》和境内要求在剩余财产分配方面没有实

质差异。

(二)其他主要差异

    除上文所述的差异以外,本公司根据开曼群岛法律设立,其他相关安排与一

般 A 股上市公司相比还存在差异,具体如下:

    1、监事会制度

    A 股上市公司根据《公司法》规定设有监事会,监事会行使下列职权:

    (1)检查公司财务;

    (2)对董事、高级管理人员执行公司职务的行为进行监督,对违反法律、

行政法规、公司章程或者股东会决议的董事、高级管理人员提出罢免的建议;

    (3)当董事、高级管理人员的行为损害公司的利益时,要求董事、高级管

理人员予以纠正;




                                  208
中芯国际集成电路制造有限公司                                  招股意向书


    (4)提议召开临时股东会会议,在董事会不履行本法规定的召集和主持股

东会会议职责时召集和主持股东会会议;

    (5)向股东会会议提出提案;

    (6)依照《公司法》的规定,对董事、高级管理人员提起诉讼;

    (7)公司章程规定的其他职权。

    《开曼群岛公司法》没有设置公司监事和监事会的相关规定,因此,中芯国

际未设置公司监事及监事会。根据《公司章程》,本公司独立非执行董事和审计

委员会,可以有效行使相当部分上述监事会的职权。

    关于本公司独立非执行董事的职权,参见本招股意向书“第七节 公司治理

与独立性”之“一、公司治理相关制度的建立健全和运行情况”之“(三)独立

董事机制及运行情况”;其职责包括但不限于:

    (1)参与董事会会议,在涉及策略、政策、公司表现及操守准则等事项上,

提供独立的意见;

    (2)在出现潜在利益冲突时发挥牵头引导作用;

    (3)应邀出任审计委员会、薪酬委员会、提名委员会及其他管治委员会成

员;

    (4)仔细检查公司的表现是否达到既定的企业目标和目的,并监察汇报公

司表现等事项。

    关于本公司审计委员会的职权,参见本招股意向书“第七节 公司治理与独

立性”之“一、公司治理相关制度的建立健全和运行情况”之“(五)董事会专

门委员会制度”。

       2、公司合并、分立、收购

    根据《公司法》等规定,一般 A 股上市公司合并可以采取吸收合并或者新

设合并的方式进行,公司分立可以采取解散分立或者存续分立的方式进行。公司


                                    209
中芯国际集成电路制造有限公司                                    招股意向书


合并、分立的决议须经出席股东大会会议的股东所持表决权的三分之二以上通过。

对股东大会作出的公司合并、分立决议持异议的股东,有权要求公司收购其股份。

公司分立前的债务由分立后的公司承担连带责任。但是,公司在分立前与债权人

就债务清偿达成的书面协议另有约定的除外。

    根据《上市公司收购管理办法》的规定,收购人自愿选择以要约方式收购上

市公司股份的,可以向被收购公司所有股东发出收购其所持有的全部股份的要约

(以下简称“全面要约”),也可以向被收购公司所有股东发出收购其所持有的部

分股份的要约(以下简称“部分要约”)。通过证券交易所的证券交易,收购人持

有上市公司的股份达到该公司已发行股份的 30%时,继续增持股份的,应当发出

全面要约或者部分要约。收购人通过协议方式收购上市公司股份超过 30%的,超

过 30%的部分应以要约方式进行。收购人作出要约收购的提示性公告后至要约收

购完成前,被收购公司除继续从事正常的经营活动或者执行股东大会已经作出的

决议外,未经股东大会批准,被收购公司董事会不得通过处置公司资产、对外投

资、调整公司主要业务、担保、贷款等方式,对公司的资产、负债、权益或者经

营成果造成重大影响。

    《开曼群岛公司法》允许开曼群岛公司之间及开曼群岛公司与非开曼群岛公

司之间进行合并或兼并。为进行合并或兼并,各拟合并或兼并公司的董事会批准

后,还须获得:

    (1)各拟合并或兼并公司股东大会特别决议批准;以及

    (2)该拟合并或兼并公司的公司章程规定的其他授权(如有)。

    依照上述法定程序执行的合并或兼并无须开曼群岛大法院批准。

    《开曼群岛公司法》并未就公司分立作出规定。开曼公司可以采取注销原实

体并设立新实体等方式实现境内《公司法》等规定中描述的关于公司分立的商业

目的。就分立前的公司债务承担,开曼公司可与债权人协商确定。

    另外,开曼公司在进行要约收购时,根据《开曼群岛公司法》规定,如果在

要约发出后 4 个月内,不少于 90%被收购方股份的持有人接受要约,则收购方在


                                  210
中芯国际集成电路制造有限公司                                  招股意向书


上述 4 个月届满后的 2 个月内可以发出通知要求被收购方剩余股份持有人以收购

要约的条款转让其股份。异议股东可以向开曼群岛大法院提出申请反对转让,但

除非存在欺诈恶意或共谋行为发生,反对上述要约收购的主张难以得到开曼群岛

大法院的支持。

    3、公司清算、解散

    一般 A 股上市公司因以下原因解散:

    (1)公司章程规定的营业期限届满或者公司章程规定的其他解散事由出现;

    (2)股东大会决议解散;

    (3)因公司合并或者分立需要解散;

    (4)依法被吊销营业执照、责令关闭或者被撤销;

    (5)人民法院依照《公司法》的规定予以解散;

    (6)公司经营管理发生严重困难,继续存续会使股东利益受到重大损失,

通过其他途径不能解决的,持有公司全部股份表决权 10%以上的股东,可以请求

人民法院解散公司。

    发行人根据《开曼群岛公司法》的相关规定,可在以下情形清算:

    (1)法院命令强制清算:

    (2)公司具有偿债能力的情况下,公司可以通过以下方式进行自愿清算:

    ① 《公司章程》规定的公司存续期限届满;

    ② 公司通过特别决议决定公司自愿清算;

    ③ 《公司章程》规定的公司应当清算的事由发生;或

    (3)在法院的监管下清算。




                                  211
中芯国际集成电路制造有限公司                                  招股意向书


    4、以资本公积弥补亏损

    根据《公司法》的规定,资本公积金不得用于弥补公司的亏损。根据《开曼

群岛公司法》的规定,其并不禁止公司在有能力支付其在日常商业运作中的到期

债务以及符合适用的会计准则的情况下,以资本公积消除账面未弥补亏损。

    根据《开曼群岛公司法》,发行人的清算资产将用于支付员工薪酬、缴纳相

关税费以及清偿公司的债务等,剩余资产将分配给股东。由于以资本公积弥补亏

损仅涉及发行人财务报表中权益项下不同科目之间的调整,不影响公司整体资产

负债情况、归属于股东的净资产情况,因此未损害发行人的日常偿债能力和投资

者获取剩余财产分配的权利,从而在剩余财产分配方面,不会导致发行人投资者

权益保护水平低于境内法律法规规定的要求。

    5、独立非执行董事机制

    公司董事会由 14 名董事组成,其中包括 5 名独立非执行董事。独立非执行

董事一贯根据《香港上市规则》及香港证券市场普遍认同的标准履行职责。本次

发行上市后,公司的独立非执行董事仍将根据《香港上市规则》履行其职责。虽

然《香港上市规则》项下独立非执行董事的任职资格和职权与境内一般 A 股上

市公司对独立董事的要求存在差异,但《科创板上市规则》项下需要独立董事发

表意见的关联交易、并购重组、重大投融资活动等同时为《香港上市规则》要求

独立非执行董事审批或发表意见的事项。该等治理安排可以保证公司对投资者权

益的保护水平总体上不低于境内法律法规规定的要求。

    综上,发行人现行公司治理制度对投资者权益保护水平,包括资产收益、参

与重大决策、剩余财产分配等权益,总体上不低于境内法律法规规定的要求,能

够有效保护投资者权益。


三、公司内部控制情况

(一)公司治理与内部控制的有效性

    发行人是设立于开曼群岛的红筹企业,设立了股东大会、董事会、经营管理

层等组织机构并完善了组织制度及其他内部管理制度。此外,发行人还根据香港


                                   212
中芯国际集成电路制造有限公司                                   招股意向书


联交所关于上市公司治理的要求在董事会下设置了各董事会专门委员会,包括战

略委员会、审计委员会、提名委员会和薪酬委员会,并制定了相应的委员会章程。

    报告期内,公司共召开了 9 次股东大会及 20 次董事会,并严格按照有关法

律、法规、规范性文件和《公司章程》的规定规范运作,严格执行股东大会和董

事会制度,股东依法行使股东权利,董事依照有关法律、法规、规范性文件和《公

司章程》的规定行使职权、勤勉尽职地履行职责和义务。自公司设立独立董事机

制、公司秘书机制及有关董事会专门委员会以来,各机制的日常运作符合公司《公

司章程》及相关制度的规定。公司治理与内部控制在所有重大方面均良好、有效。

(二)公司管理层对内部控制的自我评价

    公司管理层认为,公司于 2019 年 12 月 31 日已按照财政部会同证监会、审

计署、银监会、保监会制定的《企业内部控制基本规范》的要求在所有重大方面

基本保持了有效的与财务报表相关的内部控制。

(三)会计师对公司内部控制评价意见

    普华永道为公司出具的“普华永道中天特审字(2020)第 2456 号”《内部控

制审核报告》认为:“公司于 2019 年 12 月 31 日按照《企业内部控制基本规范》

在所有重大方面保持了有效的财务报告内部控制。”


四、报告期内违法违规及受处罚情况

(一)境内违法违规及受处罚情况

    报告期内,发行人及子公司于中国境内受到的主要行政处罚情况如下:

    因发行人子公司中芯深圳未按照排污许可证规定的限值排放废水污染物,

2018 年 3 月 21 日,深圳市坪山区环境保护和水务局向其出具了《行政处罚告知

书》(深坪环罚告字[2018]108 号),根据《深圳经济特区环境保护条例》第六十

九条第一款“违反本条例规定,有下列行为之一的,由环保部门按照下列规定予

以处罚:……(二)违反本条例第二十五条第二款规定,排污者未遵守排污许可

证载明的环境管理要求的,责令限期改正,处五万元以上十万元以下罚款;未按


                                   213
中芯国际集成电路制造有限公司                                   招股意向书


照排污许可证规定排放污染物的,责令限期改正,处十万元以上二十万元以下罚

款;情节严重的,并吊销排污许可证”,深圳市坪山区环境保护和水务局对中芯

深圳处以 13 万元罚款的处罚。

    发行人子公司中芯深圳已依法缴纳罚款,并就上述情形依法完成整改。2019

年 9 月 26 日,深圳市生态环境局出具《关于中芯国际集成电路制造(深圳)有

限公司无重大环境违法违规证明的函》,确认中芯深圳的违法行为适用较低档处

罚,不属于重大环境违法行为。2020 年 4 月 30 日,深圳市生态环境局出具《市

生态环境局关于中芯国际集成电路制造(深圳)有限公司环保守法情况的函》,

确认中芯深圳报告期内无其他环保行政处罚记录。

    中芯深圳上述行政处罚所涉金额相对发行人当期营业收入占比很小,且主管

部门亦出具了合规性证明文件确认不属于重大违法行为,因此,上述行政处罚不

会对发行人的经营和财务状况及发行人本次发行产生重大不利影响。

(二)境内外信息披露差异情况

    公司本次在上海证券交易所科创板发行的信息披露与在香港联交所、纽交所

上市期间的信息披露内容不存在重大实质性差异。


五、公司资金的占用与担保情况

    报告期内,公司无控股股东和实际控制人,公司不存在资金被主要股东及其

控制的其他企业占用的情形,也不存在为主要股东及其控制的其他企业进行违规

担保的情形。


六、公司独立经营情况

    发行人在资产、人员、财务、机构和业务方面均具备独立性,具有完整的业

务体系和直接面向市场独立持续经营的能力。




                                  214
中芯国际集成电路制造有限公司                                   招股意向书


(一)资产完整性

    发行人主要从事集成电路晶圆代工业务,拥有开展业务所需的完整的资质、

资产和配套设施,合法拥有经营所需的土地、房产、设备、商标、专利、集成电

路布图设计专有权等的所有权或使用权,具有独立的原料采购和产品销售系统。

发行人资产完整,具备与经营有关的业务体系及相关资产,不存在资产、资金被

第一大股东占用而损害发行人利益的情况。

(二)人员独立情况

    发行人建立了健全的法人治理结构,董事、高级管理人员严格按照《公司章

程》等的相关规章制度选举产生。发行人不存在其高级管理人员在发行人第一大

股东及其控制的企业中担任除董事、监事以外的其他职务并领取薪酬的情形,且

不存在财务人员在发行人第一大股东及其控制的企业中兼职的情况。

(三)财务独立情况

    发行人设立了独立的财务会计部门,配备了专职财务人员,建立了独立、完

整的会计核算体系,制订了内部财务管理制度并建立了对下属公司的财务管理制

度,能够独立作出财务决策。发行人及其子公司拥有独立的银行账户,发行人作

为独立纳税人,履行独立纳税义务。

(四)机构独立方面

    发行人已建立了健全且适应自身发展需要的内部组织机构,建立了相应的内

部管理制度,拥有独立的职能部门并独立行使经营管理职权,发行人不存在各职

能机构在经营场所、办公场所和管理制度等各方面与第一大股东及其控制的企业

机构混同的情形。

(五)业务独立方面

    发行人拥有完整、独立的研发、采购、生产和销售的运营管理体系,发行人

的业务独立于其第一大股东。




                                   215
中芯国际集成电路制造有限公司                                   招股意向书


(六)发行人主营业务、管理团队和核心技术人员稳定

    1、发行人主营业务稳定

    发行人是全球领先的集成电路晶圆代工企业之一,主要为客户提供基于多种

技术节点、不同工艺平台的集成电路晶圆代工及配套服务。发行人主营业务稳定,

最近 2 年内主营业务没有发生变化。

    2、发行人管理团队和核心技术人员稳定

    发行人董事、高级管理人员及核心技术人员的任职情况参见本招股意向书

“第五节 发行人基本情况”之“十、董事、高级管理人员与核心技术人员情况”。

    发行人管理团队和核心技术人员稳定,最近 2 年内董事、高级管理人员及核

心技术人员均没有发生重大不利变化。

(七)对持续经营有重大影响的事项

    发行人不存在主要资产、核心技术、商标的重大权属纠纷,重大偿债风险,

重大担保、诉讼、仲裁等或有事项,经营环境已经或将要发生的重大变化等对持

续经营有重大影响的事项。


七、发行人与控股股东、实际控制人及其控制的企业从事相同、相似

业务的情况

    公司无控股股东和实际控制人。主要股东及其控制的企业不存在对发行人构

成重大不利影响的同业竞争。

    持有发行人 5%以上股份的股东大唐香港及其股东大唐控股、鑫芯香港及其

股东巽鑫投资分别作出关于避免同业竞争的承诺,主要内容如下:

    “1、截至本承诺函出具之日,本企业及本企业直接或间接控制的下属企业
并未在中国境内或境外以任何方式直接或间接从事与发行人或其下属企业主营
业务存在同业竞争或潜在同业竞争的业务,包括但不限于未单独或连同、代表任




                                    216
中芯国际集成电路制造有限公司                                    招股意向书

何人士、商号或公司(企业、单位),发展、经营或协助经营、参与、从事相关
业务。

    2、自本承诺函出具之日起,本企业承诺将不会:(1)单独或与第三方,以
直接或间接控制的形式从事与发行人或其下属企业主营业务构成具有重大不利
影响的同业竞争或潜在同业竞争的业务或活动(以下简称“竞争业务”);(2)如
本企业及本企业直接或间接控制的下属企业获得以任何方式拥有与发行人及其
下属企业从事竞争业务企业的控制性股份、股权或权益的新投资机会,本企业将
书面通知发行人,若在通知中所指定的合理期间内,发行人做出愿意接受该新投
资机会的书面答复,本企业或本企业直接或间接控制的下属企业(发行人及其下
属企业除外)在合法框架下尽力促使该等新投资机会按合理和公平的条款和条件
首先提供给发行人或其下属企业。

    3、本承诺函自出具之日起生效,直至发生下列情形之一时终止:(1)本企
业及一致行动人(如有)直接或间接持有发行人股份比例低于 5%(不包括本数);
(2)发行人的股票终止在上海证券交易所上市(但发行人的股票因任何原因暂
停买卖除外);(3)国家规定对某项承诺的内容无要求时,相应部分自行终止。


    4、‘下属企业’就本承诺函的任何一方而言,指由其(1)持有或控制 50%

或以上已发行的股本或享有 50%或以上的投票权(如适用),或(2)有权享有

50%或以上的税后利润,或(3)有权控制董事会之组成或以其他形式控制的任

何其他企业或实体(无论是否具有法人资格),以及该其他企业或实体的下属企

业。”


八、关联(连)方和关联(连)关系

    根据《科创板上市规则》《香港上市规则》《企业会计准则第 36 号-关联方披

露》《国际财务报告准则》等相关法律、法规和规范性文件的规定,报告期内公

司的主要关联(连)方如下:

(一)直接持有公司 5%以上股份的法人或其他组织

    截至 2019 年 12 月 31 日,直接持有公司 5%以上股份的法人或其他组织如下:


                                   217
中芯国际集成电路制造有限公司                                                   招股意向书


序号              关联(连)方名称                            关联(连)关系
 1      大唐控股(香港)投资有限公司              直接持有发行人 17.00%的股份
 2      鑫芯(香港)投资有限公司                  直接持有发行人 15.76%的股份

(二)发行人纳入合并报表范围内的子公司和合伙企业

       发行人合并报表范围内的子公司均为发行人的关联(连)方,该等关联(连)

方截至报告期末的基本情况请见本招股意向书“第五节 发行人基本情况”之“七、

公司控股子公司及参股公司情况”之“(一)公司控股子公司基本情况”。

(三)发行人的联营企业和合营企业

       截至 2019 年 12 月 31 日,发行人的主要联营企业和合营企业具体情况如下:

序号              关联(连)方名称                            关联(连)关系
 1      凸版中芯彩晶电子(上海)有限公司          发行人持有 30.00%股权
 2      芯鑫融资租赁有限责任公司                  发行人持有 7.44%股权
                                             发行人三级全资子公司芯电上海持有
 3      江苏长电科技股份有限公司
                                             14.28%股权
                                             发行人二级全资子公司中芯上海持有
 4      中芯协成投资(北京)有限责任公司
                                             49.00%股权
                                             发行人二级全资子公司中芯上海持有
 5      中芯聚源股权投资管理(上海)有限公司
                                             19.51%股权
                                             发行人一级全资子公司中芯控股持有
 6      灿芯半导体(上海)有限公司
                                             34.75%股权
                                             发行人一级全资子公司中芯控股持有
 7      中芯集成电路(宁波)有限公司
                                             38.57%股权
                                             发行人一级全资子公司中芯控股持有
 8      中芯集成电路制造(绍兴)有限公司
                                             23.47%股份
                                             发行人二级全资子公司中芯上海持有
 9      上海集成电路制造创新中心有限公司
                                             33.33%股权
                                             发行人一级全资子公司中芯控股持有
 10     盛吉盛(宁波)半导体科技有限公司
                                             27.27%股权
        上海聚源聚芯集成电路产业股权投资基 发 行 人 三 级 全 资 子 公 司 中 芯 晶 圆 持 有
 11
        金中心(有限合伙)                   31.63%合伙财产份额
                                             发行人四级全资子公司中芯晶圆宁波持
 12     北京吾金创业投资中心(有限合伙)
                                             有 32.61%合伙财产份额
                                             发行人四级全资子公司中芯晶圆宁波持
 13     上海聚源载兴投资中心(有限合伙)
                                             有 66.23%合伙财产份额
                                             发行人四级全资子公司中芯晶圆宁波持
 14     上海信芯投资中心(有限合伙)
                                             有 49.00%合伙财产份额
                                             发行人四级全资子公司中芯晶圆宁波持
 15     上海聚源启泰投资中心(有限合伙)
                                             有 33.00%合伙财产份额
                                             发行人四级全资子公司中芯晶圆宁波持
 16     苏州聚源东方投资基金中心(有限合伙)
                                             有 44.83%合伙财产份额


                                           218
中芯国际集成电路制造有限公司                                                 招股意向书

                                                发行人通过四级全资子公司中芯晶圆宁
 17     上海诚芯投资中心(有限合伙)
                                                波持有 31.50%合伙财产份额

(四)发行人董事、高级管理人员及与其关系密切的家庭成员

       发行人现有董事、高级管理人员均为发行人的关联(连)方,该等关联(连)

方截至报告期末的基本情况请见本招股意向书“第五节 发行人基本情况”之“十、

董事、高级管理人员与核心技术人员情况”之“(一)董事简介”及“(二)高级

管理人员简介”。

       上述关联(连)自然人关系密切的家庭成员亦为发行人的关联(连)方,包

括配偶、年满 18 周岁的子女及其配偶、父母及配偶的父母、兄弟姐妹及其配偶、

配偶的兄弟姐妹和子女配偶的父母。

(五)发行人董事、高级管理人员及与其关系密切的家庭成员直接或间接控制

的,或者前述人员(独立非执行董事及其关系密切的家庭成员除外)担任董事、

高级管理人员的除发行人及其子公司以外的法人或其他组织

       截至 2019 年 12 月 31 日,发行人董事、高级管理人员直接或间接控制的,

或者前述人员(独立非执行董事除外)担任董事、高级管理人员的除发行人及其

子公司以外的法人或其他组织,主要包括:

序号             关联(连)方名称                          关联(连)关系
 1       云南南天电子信息产业股份有限公司       董事长、执行董事周子学担任独立董事
 2       海信视像科技股份有限公司               董事长、执行董事周子学担任独立董事
         宁波市集成电路产业基金管理有限公       执行董事、首席财务官、执行副总裁兼联
 3
         司                                     席公司秘书高永岗担任董事长
         中芯聚源股权投资管理(北京)有限公     执行董事、首席财务官、执行副总裁兼联
 4
         司                                     席公司秘书高永岗担任执行董事
                                                执行董事、联合首席执行官ZHAO
 5       浙江巨化股份有限公司
                                                HAIJUN(赵海军)担任董事
 6       上海硅产业集团股份有限公司             非执行董事任凯担任副董事长
 7       上海芯铄投资管理有限公司               非执行董事任凯担任董事长
 8       湖北紫光国器科技控股有限公司           非执行董事任凯担任董事
 9       湖北紫芯科技投资有限公司               非执行董事任凯担任董事
 10      福建省安芯投资管理有限责任公司         非执行董事任凯担任董事长
 11      三安光电股份有限公司                   非执行董事任凯担任董事



                                          219
中芯国际集成电路制造有限公司                                               招股意向书


序号                关联(连)方名称                     关联(连)关系
 12      上海万业企业股份有限公司             非执行董事任凯担任副董事长
                                              非执行董事路军担任董事
 13      长江存储科技控股有限责任公司
                                              非执行董事任凯担任董事
                                              非执行董事路军担任董事
 14      长江存储科技有限责任公司
                                              非执行董事任凯担任董事
                                              非执行董事路军担任董事
 15      武汉新芯集成电路制造有限公司
                                              非执行董事任凯担任董事
                                              非执行董事路军担任董事兼总裁
 16      华芯投资管理有限责任公司
                                              非执行董事任凯担任董事兼副总裁
 17      国开金融有限责任公司                 非执行董事路军担任副总裁
         国开装备制造产业投资基金有限责任
 18                                           非执行董事路军担任执行董事
         公司
         国开熔华产业投资基金管理有限责任
 19                                           非执行董事路军担任董事长兼经理
         公司
 20      中国―比利时直接股权投资基金         非执行董事路军担任董事
         国家集成电路产业投资基金二期股份
 21                                           非执行董事路军担任董事
         有限公司
 22      海通证券股份有限公司                 非执行董事周杰担任董事长
 23      烽火通信科技股份有限公司             非执行董事陈山枝担任董事
                                              独立非执行董事范仁达持股100%并担任
 24      AsiaLink Capital Limited
                                              主席兼董事总经理
注:发行人董事(独立非执行董事除外)、高级管理人员担任董事、高级管理人员的除发行
人及其子公司以外的法人或其他组织,如为发行人的联营或合营企业、直接或间接持发行人
5%以上股份的法人,则不在此处赘述

       与发行人董事、高级管理人员关系密切的家庭成员在报告期内直接或间接控

制的,或者前述人员(与独立非执行董事关系密切的家庭成员除外)担任董事、

高级管理人员的除发行人及其子公司以外的法人或其他组织亦为发行人的关联

(连)方。

(六)间接持有发行人 5%以上股份的法人或其他组织

       截至 2019 年 12 月 31 日,间接持有发行人 5%以上股份的法人或其他组织情

况如下:
序号                关联(连)方名称                     关联(连)关系
 1      大唐电信科技产业控股有限公司          持有大唐香港100%股权
 2      电信科学技术研究院有限公司            持有大唐控股100%股权
                                              持有电信科学技术研究院有限公司100%
 3      中国信息通信科技集团有限公司
                                              股权



                                        220
中芯国际集成电路制造有限公司                                                  招股意向书


序号               关联(连)方名称                          关联(连)关系
 4      巽鑫(上海)投资有限公司                持有鑫芯香港100%股权
        国家集成电路产业投资基金股份有限公
 5                                              持有巽鑫投资100%股权
        司

(七)其他关联(连)方

       报告期内,发行人其他主要关联(连)方,主要包括:

序号               关联(连)方名称                      主要关联(连)关系
        上海集成电路产业投资基金股份有限公      持有发行人二级控股子公司中芯南方
 1
        司                                      22.86%股权
        上海集成电路产业投资基金(二期)有限    于 2020 年 5 月 15 日持有发行人二级控股
 2
        公司                                    子公司中芯南方 11.53%股权
                                                发行人通过二级全资子公司中芯北京出
 3      北京市中芯学校
                                                资设立的民办非营利学校
                                                发行人通过二级全资子公司中芯上海出
 4      上海市民办中芯学校
                                                资设立的民办非营利学校
注:2020 年 5 月 15 日,中芯南方与中芯控股、大基金一期、大基金二期、上海集成电路基
金一期、上海集成电路基金二期签订《增资扩股协议》,本次增资完成后,上海集成电路基
金一期持有中芯南方 12.31%股权

(八)报告期内曾经与发行人存在关联(连)关系的自然人、法人或其他组织

       报告期内曾经与发行人存在关联(连)关系的自然人、法人或其他组织,主

要包括:
序号           关联(连)方名称                        关联(连)关系
                              报告期内曾为发行人的子公司
                                      发行人二级全资子公司 SMIC HK 持有 100%股权,
 1      SMIC(Sofia)EOOD
                                      于 2019 年 07 月 29 日随 SMIC HK 一并转让
                                      发行人二级全资子公司 SMIC HK 持有 70%股权,
 2      LFoundry S.r.l.
                                      于 2019 年 07 月 29 日随 SMIC HK 一并转让
                                      发行人三级控股子公司 LFoundry 持有 100%股权,
 3      LFoundry Sofia EOOD
                                      于 2019 年 07 月 29 日随 SMIC HK 一并转让
        中芯国际香港(国际)有限公    发行人一级全资子公司 SH Cayman 持有 100%股权,
 4
        司                            于 2019 年 07 月 29 日转让
        中芯能源科技(上海)有限公    发行人二级全资子公司 Solar Cell HK 持股 100%,
 5
        司                            于 2018 年 08 月 08 日注销
        Admiral Investment Holdings
 6                                    发行人持有 100%股权,于 2019 年 10 月 29 日注销
        Limited
        上海荣芯投资管理合伙企业      发行人三级全资子公司中芯晶圆曾系有限合伙人,
 7
        (有限合伙)                  持有 其 99%的出资份额,通过《委托管理协议》实


                                         221
中芯国际集成电路制造有限公司                                               招股意向书


序号           关联(连)方名称                        关联(连)关系
                                       际控制,于 2017 年 4 月 20 日转让
                           报告期内曾为发行人的联营或合营企业
                                       发行人二级全资子公司中芯上海持有 20%股权,于
        宁波微电子创新产业园有限公     2019 年 12 月 25 日转让;执行董事、首席财务官、
 8
        司                             执行副总裁兼联席公司秘书高永岗曾任董事长,
                                       2019 年 12 月 25 日退任
                        报告期内发行人子公司曾出资的其他机构
                                       发行人二级全资子公司中芯北京作为举办人出资设
 9      北京市中芯幼儿园
                                       立的民办非营利学校,已于2019年09月25日捐赠
        北京市大兴区中芯南海子幼儿     发行人二级全资子公司中芯北京作为举办人出资设
 10
        园                             立的民办非营利学校,已于2019年09月25日捐赠
                                       发行人二级全资子公司中芯上海作为举办人出资设
 11     上海市民办中芯幼儿园
                                       立的民办非营利学校,已于2019年09月25日捐赠
                 报告期内曾间接持有发行人5%以上股份的法人或其他组织
 12     北京紫光资本管理有限公司       曾间接持有发行人 5%以上股份
                                       持有北京紫光资本管理有限公司 100%股份,曾间接
 13     紫光集团有限公司
                                       持有发行人 5%以上股份
发行人董事、高级管理人员报告期内曾直接或间接控制的,或者前述人员(独立非执行董
      事除外)曾担任董事、高级管理人员的除发行人及其子公司以外的法人或其他组织
                                       执行董事、首席财务官、执行副总裁兼联席公司秘
 14     大唐电信集团财务有限公司
                                       书高永岗曾担任董事长,2019年01月29日退任
                                       执行董事、首席财务官、执行副总裁兼联席公司秘
 15     有研新材料股份有限公司
                                       书高永岗曾任独立董事,2018年04月16日退任
 16     国开新能源科技有限公司         非执行董事路军曾任董事长,2018年07月20日退任
        国开投资基金管理有限责任公
 17                                    非执行董事路军曾任董事,2018年07月10日退任
        司
 18     辰芯科技有限公司               非执行董事陈山枝曾任董事,2018年07月19日退任
 19     烽火科技集团有限公司           非执行董事童国华曾任董事,2017年01月13日退任
                                       非执行董事童国华曾任董事长,2019年06月04日注
 20     武汉烽火移动通信有限公司
                                       销
 21     上海医药(集团)有限公司       非执行董事周杰曾任董事,2017年02月21日退任
                                       非执行董事周杰曾任董事兼总经理,2017年05月23
 22     上海上实(集团)有限公司
                                       日退任
        上海海外联合投资股份有限公
 23                                    非执行董事周杰曾任董事,2018年3月30日退任
        司
 24     开信创业投资有限公司           非执行董事路军曾任董事长,2018年06月28日退任
        开信创业投资管理(北京)有     非执行董事路军曾任副董事长,2018年06月29日退
 25
        限公司                         任
        新华半导体控股(上海)有限
 26                                    非执行董事任凯曾任董事,2019年09月11日注销
        公司


                                            222
中芯国际集成电路制造有限公司                                               招股意向书


序号          关联(连)方名称                         关联(连)关系
                    报告期内曾担任发行人董事、高级管理人员的自然人
                                      报告期内曾任发行人的独立非执行董事,2019 年 06
 27    蒋尚义
                                      月 21 日不再担任独立非执行董事
                                      报告期内曾任发行人执行董事、首席执行官、非执
 28    邱慈云                         行董事,2017 年 05 月 10 日不再担任首席执行官和
                                      执行董事,2018 年 6 月 30 日不再担任非执行董事
                                      报告期内曾任发行人非执行董事陈山枝之替任董
 29    李永华
                                      事,2017 年 02 月 24 日不再担任替任董事
                                      报告期内曾任发行人投资及战略业务发展及财务执
 30    龚志伟                         行副总裁兼公司秘书,2017 年 07 月 3 日不再担任
                                      执行副总裁兼公司秘书
       JYISHYANG JOHN LIU(刘吉       报告期内曾任工程及服务执行副总裁,2017 年 12
 31
       祥)                           月 31 日不再担任执行副总裁
                                      报告期内曾任全球销售及市场执行副总裁,2017 年
 32    MIKE REKUC
                                      12 月 31 日不再担任执行副总裁
                                      报告期内曾任法务/公共和政府关系/行政事务执行
 33    李智                           副总裁,由于职级调整于 2018 年 12 月 04 日不再担
                                      任高级管理人员
                                      报告期内曾任设计服务执行副总裁,2018 年 02 月
 34    TIAN-SHEN TANG(汤天申)
                                      01 日不再担任执行副总裁
       I-HUA CARMEN CHANG             报告期内曾任发行人独立非执行董事,2018 年 06
 35
       (周一华)                     月 22 日不再担任独立非执行董事
                                      报告期内曾任发行人的独立非执行董事,2018 年 06
 36    LIP-BU TAN(陈立武)
                                      月 22 日不再担任独立非执行董事
报告期内发行人曾经的董事、高级管理人员直接或间接控制的,或曾直接或间接控制的,
或者前述人员(独立非执行董事除外)担任或曾担任董事、高级管理人员的除发行人及其
                              子公司以外的法人或其他组织
                                      报告期内曾任执行董事、首席执行官、非执行董事
 37    上海新昇半导体科技有限公司
                                      邱慈云担任董事兼总经理
       国新健康保障服务集团股份有
 38                                   报告期内曾任非执行董事李永华担任董事兼总裁
       限公司
                                      报告期内曾任设计服务执行副总裁 TIAN-SHEN
 39    Solantro Semiconductor Corp.
                                      TANG(汤天申)担任首席执行官兼总裁
       摩尔精英集成电路产业发展       报告期内曾任设计服务执行副总裁 TIAN-SHEN
 40
       (合肥)有限公司               TANG(汤天申)担任独立董事
                                      报告期内曾任投资及战略业务发展及财务执行副总
 41    VXI Global Solutions LLC
                                      裁兼公司秘书龚志伟担任首席财务官
                                      报告期内曾担任执行董事、首席执行官、非执行董
 42    Axcelis Technologies
                                      事邱慈云担任独立董事




                                         223
中芯国际集成电路制造有限公司                                           招股意向书


序号         关联(连)方名称                         关联(连)关系
                                    报告期内曾任非执行董事李永华曾任董事长,2019
 43     大唐恩智浦半导体有限公司
                                    年 11 月 11 日退任
                                    报告期内曾任非执行董事李永华曾任董事、总经理,
 44     大唐电信科技股份有限公司
                                    2019 年 08 月 22 日退任
                                    报告期内曾任执行副总裁李智曾任董事,于 2018 年
 45     上海华虹 NEC 电子有限公司
                                    08 月 03 日注销
                                    报告期内曾任非执行董事李永华曾担任董事长,
 46     大唐移动通信设备有限公司
                                    2017 年 5 月 26 日退任
注:发行人董事(独立非执行董事除外)、高级管理人员曾担任董事、高级管理人员的,发
行人报告期内曾经的董事(独立非执行董事除外)、高级管理人员担任或曾担任董事、高级
管理人员的,除发行人及其子公司以外的法人或其他组织,如为发行人的联营或合营企业、
直接或间接持有发行人 5%以上股份的法人,则不在此处赘述

       以下自然人或法人或其他组织亦为发行人的关联(连)方:

       (1) 与发行人董事、高级管理人员关系密切的家庭成员,报告期内曾直接

或间接控制的,或者前述人员(与独立非执行董事关系密切的家庭成员除外)在

报告期内曾担任董事、高级管理人员的,除发行人及其子公司以外的法人或其他

组织;

       (2) 与发行人报告期内曾经的董事、高级管理人员关系密切的家庭成员,

包括配偶、父母及配偶的父母、兄弟姐妹及其配偶、年满 18 周岁的子女及其配

偶、配偶的兄弟姐妹和子女配偶的父母;

       (3) 与发行人报告期内曾经的董事、高级管理人员关系密切的家庭成员报

告期内直接或间接控制的,或曾直接或间接控制的,或者前述人员(与独立非执

行董事关系密切的家庭成员除外)在报告期内担任或曾担任董事、高级管理人员

的,除发行人及其子公司以外的法人或其他组织。

(九)根据《香港上市规则》所界定的关连方

       根据《香港上市规则》所界定的关连方亦属于发行人的关联(连)方。




                                       224
中芯国际集成电路制造有限公司                                                                     招股意向书


九、关联(连)交易情况

(一)经常性关联(连)交易

     1、销售商品及提供劳务

                                                                                                    单位:万元

                                    2019 年度                  2018 年度                      2017 年度
 关联(连)方      交易内容                     占营业                     占营业                        占营业
                                 金额           收入比      金额           收入比          金额          收入比
                                                  例                         例                            例
灿芯半导体及其     销售货物      28,772.60        1.31%   22,329.00         0.97%        29,875.37        1.40%
子公司             提供劳务        255.88         0.01%              -              -               -          -

紫光集团有限公     销售货物      27,808.52        1.26%   49,004.28         2.13%        51,382.85        2.40%
司的子公司         提供劳务         10.00         0.00%              -              -               -          -
                   销售货物      25,028.43        1.14%    6,162.79         0.27%                   -          -
中芯绍兴
                   提供劳务       5,390.38        0.24%    4,833.54         0.21%                   -          -
长电科技及其子
                   提供劳务      19,293.01        0.88%       82.49         0.00%           43.51         0.00%
公司
大唐控股子公司     销售货物       6,805.15        0.31%    7,875.60         0.34%        13,697.31        0.64%
                   销售货物       3,356.29        0.15%      593.92         0.03%                   -          -
中芯宁波
                   提供劳务        544.28         0.02%    1,009.73         0.04%                   -          -

武汉新芯集成电     销售货物             0.66      0.00%      410.75         0.02%                   -          -
路制造有限公司     提供劳务             3.56      0.00%              -              -       20.06         0.00%
盛吉盛             提供劳务             7.78      0.00%              -              -               -          -
上海市民办中芯
                   提供劳务         50.61         0.00%              -              -               -          -
幼儿园
北京中芯幼儿园     提供劳务             7.14      0.00%              -              -               -          -
            合计                117,334.28       5.33%    92,302.10         4.01%        95,019.11        4.44%


     报告期内,公司向灿芯半导体及其子公司、紫光集团有限公司的子公司、中
芯绍兴、长电科技及其子公司等关联(连)方提供集成电路晶圆代工、芯片流片、
凸块加工及测试等服务。


     2、采购商品及接受劳务

                                                                                                    单位:万元

                                     2019 年度                2018 年度                      2017 年度
  关联(连)方       交易内容                  占营业成                  占营业成                       占营业成
                                  金额                     金额                           金额
                                               本比例                    本比例                         本比例
沪硅产业子公司       采购货物    5,719.64        0.33%    4,403.43         0.25%         2,838.12          0.18%
长电科技及其子公
                     接受劳务    5,214.17        0.30%    6,990.73         0.39%        10,908.79          0.68%
司


                                                225
中芯国际集成电路制造有限公司                                                                            招股意向书


                      采购货物       4,196.01       0.24%        4,755.36         0.27%       7,637.41            0.47%
凸版彩晶
                      接受劳务         68.61        0.00%          21.03          0.00%            39.70          0.00%
有研新材料股份有
                      采购货物       1,385.20       0.08%         839.06          0.05%           155.48          0.01%
限公司子公司
                      采购货物        104.79        0.01%                 -              -               -               -
中芯绍兴
                      接受劳务        340.18        0.02%                 -              -               -               -
中芯聚源              接受劳务        207.54        0.01%         241.42          0.01%           626.67          0.04%
灿芯半导体及其子
                      接受劳务              -              -       60.74          0.00%       1,362.26            0.08%
公司
              合计                  17,236.15       0.99%       17,311.78        0.98%       23,568.43            1.46%

     报告期内,公司向沪硅产业子公司、长电科技及其子公司、凸版彩晶等关联
(连)方采购原材料及封装测试服务等。


     3、关联(连)方提供租赁

                                                                                                             单位:万元

 关联(连)方        租赁资产种类                项目               2019 年度           2018 年度        2017 年度
                                           使用权资产                38,177.69                      -                -
 芯鑫租赁及其
                       机器设备        租赁负债利息支出                  7,118.96                   -                -
   子公司
                                                租赁费用                          -     58,696.34            34,715.14
                                           使用权资产                    1,555.69                   -                -
   长电科技              厂房          租赁负债利息支出                       78.11                 -                -
                                                租赁费用                          -          306.94             277.96
注:新租赁准则对 2019 年租赁相关的财务报表列报方式进行了调整

     报告期内,公司接受芯鑫租赁及其子公司提供的机器设备租赁服务。此外,
公司向长电科技租赁厂房用于生产经营。


     4、确认的租赁收入

                                                                                                             单位:万元

     关联(连)方           出租资产种类             2019 年度                 2018 年度                2017 年度
       中芯绍兴                 厂房及房屋                 5,091.67                     28.07                         -
       凸版彩晶                    厂房                    2,705.78                   2,754.10                2,638.15
上海市民办中芯幼儿园               房屋                        136.32                         -                       -
    北京中芯幼儿园                 房屋                         38.26                         -                       -
       长电科技                    设备                              -                  19.35                    12.90

     报告期内,公司主要向中芯绍兴、凸版彩晶出租厂房,供其生产经营。


     5、向关联(连)方授权知识产权


                                                   226
中芯国际集成电路制造有限公司                                                招股意向书

                                                                             单位:万元

      关联(连)方             2019 年度           2018 年度            2017 年度
        中芯绍兴                              -        134,790.56                      -
        中芯宁波                              -          1,400.00                      -

    2018 年度发行人向中芯绍兴授权知识产权实现收入 134,790.56 万元,主要
为开展微机电系统及功率半导体器件业务相关的知识产权。

    6、关键管理人员薪酬

    报告期各期,发行人向关键管理人员(含董事、高级管理人员)支付薪酬,
关联(连)交易金额(包括工资、奖金及股权激励费用)分别为 9,619.79 万元、
4,215.95 万元和 2,711.63 万元。


(二)偶发性关联(连)交易

    1、转让资产

                                                                             单位:万元

         关联(连)方              交易内容       2019 年度    2018 年度     2017 年度
           中芯绍兴               卖出机器设备      2,173.78    47,788.78              -
     芯鑫租赁及其子公司           卖出机器设备             -   205,927.99    449,150.85
                      合计                          2,173.78   253,716.76    449,150.85
            盛吉盛                买入机器设备       518.52             -              -
     长电科技及其子公司           买入机器设备        15.71          8.14           9.26
                      合计                           534.23          8.14           9.26
注:新租赁准则对 2019 年租赁相关的财务报表列报方式进行了调整

    报告期内,公司向中芯绍兴转让部分机器设备,包括 2018 年度转让的微机
电及功率器件业务相关的机器设备。公司接受芯鑫租赁及其子公司提供的机器设
备售后回租服务。


    2、向董事、高级管理人员售房

    2017 年度、2018 年度及 2019 年度,公司向董事、高级管理人员(含报告期
内已离任高管)出售房屋金额分别为 2,212.03 万元、821.65 万元及 1,409.26 万元。


    3、接受财务顾问服务




                                       227
中芯国际集成电路制造有限公司                                    招股意向书

    2017 年度及 2018 年度,公司接受海通证券提供的财务顾问服务,分别发生
财务顾问费 100.00 万元、50.00 万元。


    4、收回委托贷款

    中芯北京于 2015 年向北京中芯幼儿园提供委托贷款 1,200.00 万元,其中
600.00 万元于 2019 年收回。


    5、关联(连)方认购公司发行的普通股及永久次级可换股证券

    2018 年 6 月 29 日,公司向大唐香港配发 61,526,473 股普通股,发行价格为

10.65 港元/股。同日,公司向大唐香港发行本金为 2.00 亿美元的永久次级可换股

证券。

    2018 年 8 月 29 日,公司向鑫芯香港配发 57,054,901 股普通股,发行价格为

10.65 港元/股。同日,公司向鑫芯香港发行本金为 3.00 亿美元的永久次级可换股

证券。

    6、认购关联(连)方债务投资工具

    2018 年 5 月 18 日,中芯北京与上海国泰君安证券资产管理有限公司订立资

产管理协议,上海国泰君安证券资产管理有限公司向中芯北京提供资产管理及投

资服务,包括投资于定向债务融资工具。

    2018 年 7 月 6 日,上海国泰君安证券资产管理有限公司以其受托的中芯北

京的资产认购芯鑫租赁发行的本金总额为人民币 5.00 亿元的定向债务融资工具

中的 2.00 亿元。

    2018 年 8 月 10 日,上海国泰君安证券资产管理有限公司以其受托的中芯北

京的资产认购芯鑫租赁发行的本金总额为人民币 5.00 亿元的定向债务融资工具

中的 1.00 亿元。

    7、认购关联(连)方非公开发行的股份

    2016 年 4 月 27 日,芯电上海和长电科技订立协议,芯电上海向长电科技出

售苏州长电新科投资有限公司 19.61%股权,作价人民币 6.64 亿元,由长电科技

                                   228
中芯国际集成电路制造有限公司                                                   招股意向书


向芯电上海按 15.36 元/股发行 43,229,166 股 A 股支付。同日,芯电上海和长电

科 技 订 立 认 购 协 议 , 长 电 科 技 同 意 向 芯 电 上 海 按 17.62 元 / 股 非 公 开 发 行

150,681,044 股 A 股,总认购价人民币 26.55 亿元。2017 年 5 月 10 日,中国证监

会出具《关于核准江苏长电科技股份有限公司向国家集成电路产业投资基金股份

有限公司等发行股份购买资产并募集配套资金的批复》(证监许可[2017]663 号)

文件核准了该次交易。2017 年 6 月 19 日,上述股份完成发行及登记程序。

     2017 年 9 月 28 日,长电科技召开董事会审议通过了非公开发行有关议案,

拟向大基金一期、芯电上海等对象非公开发行股票。2018 年 8 月 15 日,中国证

监会出具《关于核准江苏长电科技股份有限公司非公开发行股票的批复》(证监

许可[2018]1085 号)文件核准了该次交易。2018 年 8 月 30 日,芯电上海认购长

电科技非公开发行的 34,696,198 股股份,认购价格为 14.89 元/股,总认购价为

516,626,388.22 元,上述股份于当日完成发行及登记程序。

     8、向关联(连)方转让股权及共同增资

     2018 年 3 月 22 日,子公司中芯控股、中芯宁波及大基金一期订立股权转让

协议,中芯控股出售中芯宁波的 28.17%股权给大基金一期。2018 年 3 月 23 日,

中芯控股与中芯宁波各股东订立增资协议,中芯控股增资 5.65 亿元,大基金一

期增资 5.00 亿元,宁波胜芯电子科技有限公司增资 2.00 亿元,宁波市集成电路

产业基金管理有限公司增资 1.00 亿元,盈富泰克国家新兴产业创业投资引导基

金增资 1.00 亿元,北京集成电路设计与封测股权投资中心不进行增资。

     9、与关联(连)方共同对外投资

     2016 年 6 月 23 日,中芯晶圆、上海肇芯投资管理中心(有限合伙)及大基

金一期就成立上海聚源聚芯集成电路产业股权投资基金中心订立合伙协议。根据

合伙协议,大基金一期认缴出资 9.98 亿元,中芯晶圆认缴出资 7 亿元,上海肇

芯投资管理中心(有限合伙)认缴出资 1,500 万元。

     2017 年 7 月 20 日,公司与大基金一期等各方修改芯鑫租赁的合资经营协议,

公司向芯鑫租赁增资 2 亿元,大基金一期增资 15 亿元。


                                           229
中芯国际集成电路制造有限公司                                                           招股意向书


    2017 年 8 月 10 日,公司与大基金一期等中芯北方的股东订立增资协议,中

芯国际、中芯北京、中芯控股向中芯北方增资 12.24 亿美元,大基金一期增资 9

亿美元。

    2018 年 1 月 30 日,中芯控股、中芯上海与大基金一期及上海集成电路基金

订立合资合同和增资扩股协议,中芯控股、大基金一期及上海集成电路基金分别

向中芯南方出资 15.435 亿美元、9.47 亿美元及 8 亿美元。

    2018 年 5 月 2 日,中芯晶圆、上海尧芯商务信息咨询有限公司及大基金一

期订立基金合伙协议,成立盈富泰克(深圳)环球技术股权投资基金合伙企业(有

限合伙),大基金一期出资 8 亿元,中芯晶圆出资 1.65 亿元,由盈富泰克创业投

资有限公司担任基金管理人。

    2018 年 8 月 10 日,中芯控股与 Triplecores Korea Co., Ltd.、芯空间控股有限

公司及芯鑫租赁修订对盛吉盛的合资合同:Triplecores Korea Co.,Ltd.增资 200.00

万美元,芯空间控股有限公司增资 300.00 万美元,芯鑫租赁增资 500.00 万美元,

中芯控股不进行增资。

(三)关联(连)方往来余额

    1、应收关联(连)方款项

                                                                                         单位:万元

     项目             关联(连)方   2019 年 12 月 31 日    2018 年 12 月 31 日   2017 年 12 月 31 日
                  中芯绍兴                    18,746.87               10,809.78                     -
                  灿芯半导体及其子
                                              10,898.63                7,395.06             8,462.39
                  公司
                  长电科技及其子公
                                               9,482.41                   31.95                13.68
                  司
   应收账款       紫光集团有限公司
                                               5,876.02                3,824.06             5,882.64
                  的子公司
                  中芯宁波                     2,324.80                  686.38                     -
                  大唐控股子公司                   413.75              2,312.37             1,497.12
                  武汉新芯集成电路
                                                        -                380.47                     -
                  制造有限公司
               合计                           47,742.47               25,440.07            15,855.82
                  中芯绍兴                     7,263.23               72,262.24                     -
  其他应收款      中芯宁波                     1,870.22                1,319.30                     -
                  凸版彩晶                         494.76              1,623.29               437.57


                                             230
中芯国际集成电路制造有限公司                                                            招股意向书

                   上海市民办中芯幼
                                                    354.69                     -                     -
                   儿园
                   北京中芯幼儿园                   109.00                     -                     -
                   盛吉盛                             2.74                     -                     -
               合计                            10,094.64               75,204.84               437.57
  其他流动资产
                   芯鑫租赁                              -             30,679.78                     -
  —债券投资
一年内到期的非流
                   北京中芯幼儿园               1,000.46                       -                     -
动资产—委托贷款


     公司应收账款主要为应收中芯绍兴、灿芯半导体及其子公司、长电科技及其

子公司等关联(连)方的集成电路晶圆代工服务应收款。

     其他应收款主要为应收中芯宁波、中芯绍兴的设备转让款及服务费等。

     其他流动资产—债券投资为持有的芯鑫租赁发行的定向债务融资工具。

     一年内到期的非流动资产—委托贷款为中芯北京及北京市中芯学校向北京

中芯幼儿园提供的尚未收回的委托贷款。

     2、应付关联(连)方款项

                                                                                          单位:万元

   项目            关联(连)方       2019 年 12 月 31 日    2018 年 12 月 31 日   2017 年 12 月 31 日
             长电科技及其子公司                 1,745.08                  650.36                12.47
             沪硅产业子公司                         975.26                223.88               664.93
 应付账款
             凸版彩晶                               345.34                506.03               580.44
             中芯绍兴                                48.75                     -                     -
               合计                              3,114.43               1,380.27             1,257.83
             盛吉盛                                  31.88                     -                     -
其他应付款   长电科技及其子公司                       3.20                     -                     -
             海通证券                                    -                     -               106.00
               合计                                  35.07                     -               106.00
             芯鑫租赁及其子公司               171,558.00                       -                     -
 租赁负债
             长电科技                           1,371.10                       -                     -
               合计                           172,929.10                       -                     -


     应付账款主要为应付长电科技及其子公司封装测试服务费及应付沪硅产业

子公司的原材料采购费。

     租赁负债主要为未来应付芯鑫租赁的设备租赁费。


                                              231
中芯国际集成电路制造有限公司                                       招股意向书


(四)报告期内关联(连)交易制度安排及决策程序

    报告期内,公司发生的关联(连)交易按照《香港上市规则》等适用的香港

联交所相关法律规定的要求履行审议及信息披露程序。

(五)公司规范和减少关联(连)交易的措施

    公司目前的关联(连)交易属于日常经营所必要的,有利于业务的顺利开展

和正常经营。为避免主要股东可能在关联(连)交易中损害公司或其他非关联股

东利益,公司将进一步采取以下措施,以规范和减少关联(连)交易:

    1、严格按照上市地相关法规要求履行法定关联交易审批程序,并严格执行

关联(连)交易回避制度;

    2、保证独立非执行董事依法行使职权,确保关联(连)交易价格的公允性

和批准程序的合规性,最大程度保护其他股东利益;

    3、公司主要股东及关联企业与公司发生关联(连)交易,将严格按照有关

法律、法规和规范性文件的规定,履行关联(连)交易决策程序,及时进行信息

披露,保证不通过关联交易损害公司及其他股东的合法权益。

    4、公司主要股东出具了《关于规范关联交易的承诺函》并承诺如下:

    “1、在不对发行人及其他股东的利益构成不利影响的前提下,本公司将采
取措施规范并尽量减少与发行人发生关联交易。

    2、对于正常经营范围内所需的关联交易,本公司将与发行人依法签订规范
的交易协议,并按照有关法律、法规、规范性文件和发行人本次 A 股发行后适
用的《Semiconductor Manufacturing International Corporation(中芯国际集成电路
制造有限公司)组织章程大纲及章程细则》等发行人内控制度的规定履行或配合
发行人履行审议批准程序和回避表决及信息披露义务,并保证该等关联交易均将
基于公平公正公开等关联交易基本原则实施。

    3、保证不利用关联交易损害发行人及其他股东的利益。




                                     232
中芯国际集成电路制造有限公司                                       招股意向书


    如本公司未能依照上述承诺履行义务的,本公司将依照未能履行承诺时的约

束措施承担相应责任。”

    公司董事及高级管理人员出具了《关于规范关联(连)交易的承诺函》并承

诺如下:

    “1、在不对发行人及其他股东的利益构成不利影响的前提下,本人将采取
措施规范并尽量减少与发行人发生关联(连)交易。

    2、对于正常经营范围内或存在其他合理原因无法避免的关联(连)交易,
本人将与发行人依法签订规范的交易协议,并按照有关法律、法规、规范性文件
和发行人本次 A 股发行后适用的《Semiconductor Manufacturing International
Corporation(中芯国际集成电路制造有限公司)组织章程大纲及章程细则》及相
关内控制度的规定履行审议批准程序和回避表决及信息披露义务,并保证该等关
联(连)交易均将基于关联(连)交易原则实施。

    3、保证不利用关联(连)交易损害发行人及其他股东的利益。


    本人做出的承诺须符合适用法律、法规及规范性文件的规定,及证券监督管

理部门和其他有权部门的监管要求。如本人违反上述承诺,将遵照另行出具的

《Semiconductor Manufacturing International Corporation(中芯国际集成电路制造

有限公司)董事、高级管理人员关于未能履行相关承诺的约束措施的承诺函》承

担相应责任。”


十、报告期内关联(连)方的变化情况

    发行人报告期内关联(连)方的变化情况参见本节之“八、关联(连)方和

关联(连)关系”之“(八)报告期内曾经与发行人存在关联(连)关系的自然

人、法人或其他组织”。




                                     233
中芯国际集成电路制造有限公司                                                         招股意向书


                          第八节 财务会计信息与管理层分析

       本节引用的财务会计数据,非经特别说明,均引自公司经普华永道中天会计

师事务所(特殊普通合伙)审计的财务报告。公司提醒投资者阅读财务报告及审

计报告全文,以获取全部的财务资料。


一、财务报表

(一)合并资产负债表
                                                                                         单位:元

                 项目              2019 年 12 月 31 日   2018 年 12 月 31 日   2017 年 12 月 31 日
货币资金                             37,268,472,713.91     30,111,612,644.87     17,916,390,897.93
交易性金融资产                          300,055,397.27        286,095,157.37                     -
以公允价值计量且其变动计入当期损
                                                     -                     -        770,565,880.03
益的金融资产
衍生金融资产                                         -         17,729,553.16         44,757,552.69
应收票据                                102,681,601.28         66,248,627.10         49,036,460.26
应收账款                              3,283,284,869.75      2,966,804,352.46      2,615,569,268.07
预付款项                                239,131,297.43        218,332,354.30        223,002,190.40
其他应收款                              554,580,441.76      1,517,960,038.10        457,920,224.14
存货                                  4,389,932,496.18      4,443,649,351.33      4,068,710,498.75
持有待售资产                             82,476,844.67        106,223,107.65        244,845,818.62
一年内到期的非流动资产                   10,004,583.31                     -                     -
其他流动资产                          1,746,084,608.13      1,504,796,741.41        846,699,653.50
             流动资产合计            47,976,704,853.69     41,239,451,927.75     27,237,498,444.39
可供出售金融资产                                     -                     -        162,333,448.76
其他非流动金融资产                      628,714,046.59        380,914,553.05                     -
长期股权投资                          8,223,332,166.42      7,763,436,976.35      5,217,638,245.29
使用权资产                            1,665,570,327.77                     -                     -
固定资产                             36,866,121,113.88     31,195,362,352.62     30,572,249,566.51
在建工程                             17,059,667,868.66     15,992,189,468.14     11,908,677,134.97
无形资产                              1,863,505,040.28      1,771,987,009.37      2,186,966,306.86
商誉                                                 -         26,993,273.40         25,699,301.65
递延所得税资产                          439,596,670.38        304,194,676.20        293,219,400.12
其他非流动资产                           93,851,166.99        170,340,747.53        321,773,673.98
           非流动资产合计            66,840,358,400.97     57,605,419,056.66     50,688,557,078.14
               资产总计             114,817,063,254.66     98,844,870,984.41     77,926,055,522.53
短期借款                                698,116,894.13      1,320,219,521.51      2,019,438,664.12



                                            234
中芯国际集成电路制造有限公司                                                         招股意向书


                 项目          2019 年 12 月 31 日     2018 年 12 月 31 日     2017 年 12 月 31 日
衍生金融负债                         33,379,247.70          108,478,628.46            4,862,893.69
应付账款                          2,175,880,785.14        2,185,022,663.64        2,085,394,157.26
预收款项                              6,023,732.49           12,081,304.15          383,174,416.94
合同负债                            798,932,437.87          426,433,750.20                       -
应付职工薪酬                        573,825,410.58          658,838,503.97          936,136,358.56
应交税费                             43,398,147.21           56,324,474.05           56,465,406.02
其他应付款                        5,222,205,632.88        4,880,147,195.64        4,456,820,625.28
一年内到期的非流动负债            8,493,518,535.97        7,633,796,118.49        1,250,611,398.11
其他流动负债                      2,028,230,136.99                         -                     -
             流动负债合计        20,073,510,960.96       17,281,342,160.11       11,192,903,919.98
长期借款                         13,987,779,511.46       12,396,692,738.41       11,364,619,744.50
应付债券                          1,495,176,850.97        2,872,881,825.48        7,373,854,780.64
租赁负债                          1,166,308,979.49                         -                     -
长期应付款                          130,794,302.18          288,781,177.81          406,523,177.92
预计负债                                           -          9,277,105.35            9,880,399.82
递延收益                          6,036,814,768.55        4,412,375,758.22        3,224,868,896.48
长期应付职工薪酬                                   -        181,705,304.90          184,015,860.21
递延所得税负债                      239,851,692.67          110,335,902.35          107,238,248.78
其他非流动负债                      427,801,548.15          188,652,438.76           94,536,713.36
           非流动负债合计        23,484,527,653.47       20,460,702,251.28       22,765,537,821.71
               负债合计          43,558,038,614.43       37,742,044,411.39       33,958,441,741.69
股本                                144,888,928.52          144,418,894.34          141,187,242.25
其他权益工具                      3,739,845,691.16        3,739,845,691.16          423,925,691.16
其中:永续债                      3,739,845,691.16        3,739,845,691.16          423,925,691.16
资本公积                         34,692,318,869.30       34,409,864,670.51       33,356,083,082.37
其他综合收益                      1,212,468,372.30          766,604,673.38         -957,202,215.18
未分配利润                        3,783,832,474.88        2,097,583,150.31        1,285,648,693.36
归属于母公司股东权益合计         43,573,354,336.16       41,158,317,079.70       34,249,642,493.96
少数股东权益                     27,685,670,304.07       19,944,509,493.32        9,717,971,286.88
             股东权益合计        71,259,024,640.23       61,102,826,573.02       43,967,613,780.84
       负债及股东权益总计       114,817,063,254.66       98,844,870,984.41       77,926,055,522.53


(二)合并利润表
                                                                                         单位:元

                 项目             2019 年度              2018 年度                2017 年度
一、营业收入                   22,017,882,940.20       23,016,706,842.03         21,389,822,412.14
减:营业成本                   17,430,776,267.63       17,718,317,923.98         16,093,951,396.52
税金及附加                       116,029,454.80            91,612,712.35            144,616,631.78




                                         235
中芯国际集成电路制造有限公司                                                    招股意向书


                 项目                2019 年度           2018 年度            2017 年度
销售费用                            182,259,852.82        190,045,693.38       228,505,249.17
管理费用                           1,517,799,117.16      1,159,348,822.23     1,120,222,867.20
研发费用                           4,744,456,580.60      4,470,900,053.44     3,576,077,837.21
财务费用                           -561,265,738.34         -96,767,558.06      184,592,847.05
其中:利息费用                      437,304,538.42        263,350,590.58       263,174,726.88
利息收入                            960,175,363.37        442,772,287.42       181,704,857.85
加:其他收益                       2,039,269,066.70      1,106,647,341.70     1,023,701,821.82
投资收益                            846,938,248.01       -270,439,089.98        12,924,712.47
其中:对联营企业和合营企业的投资
                                    255,765,061.60       -339,696,074.80          5,366,978.02
收益
公允价值变动损益                    194,509,391.06         24,500,805.92        39,248,075.83
信用减值损失                         -11,149,027.97         -5,753,780.93                    -
资产减值损失                       -252,820,688.86         -97,430,332.16      -317,383,837.80
资产处置收益                         27,608,710.08        214,337,708.31       112,191,897.38
二、营业利润                       1,432,183,104.55       455,111,847.57       912,538,252.91
加:营业外收入                         2,805,852.25          9,474,740.45         3,444,071.58
减:营业外支出                         7,991,683.53          8,197,507.59         2,168,006.02
三、利润总额                       1,426,997,273.27       456,389,080.43       913,814,318.47
减:所得税费用                      158,468,593.78         96,127,500.72         11,267,314.31
四、净利润                         1,268,528,679.49       360,261,579.71       902,547,004.16
归属于母公司股东的净利润           1,793,764,170.49       747,278,322.87      1,244,990,601.63
少数股东损益                       -525,235,491.00       -387,016,743.16       -342,443,597.47
五、其他综合收益的税后净额          835,116,567.52       2,434,757,860.36    -1,626,135,947.41
归属于母公司股东的其他综合收益的
                                    443,469,366.15       1,831,487,647.64    -1,114,602,937.41
税后净额
归属于少数股东的其他综合收益的税
                                    391,647,201.37        603,270,212.72       -511,533,010.00
后净额
六、综合收益总额                   2,103,645,247.01      2,795,019,440.07      -723,588,943.25
归属于母公司股东的综合收益总额     2,237,233,536.64      2,578,765,970.51      130,387,664.22
归属于少数股东的综合收益总额       -133,588,289.63        216,253,469.56       -853,976,607.47


(三)合并现金流量表
                                                                                    单位:元

                  项目                  2019 年度           2018 年度          2017 年度
一、经营活动产生的现金流量
销售商品、提供劳务收到的现金         24,186,574,402.91   25,047,213,087.49   23,703,061,244.34
收到的税费返还                        1,248,330,286.72      959,432,567.55    1,023,514,543.49
收到其他与经营活动有关的现金          3,580,292,558.28    1,071,231,010.53    2,015,601,884.46
经营活动现金流入小计                 29,015,197,247.91   27,077,876,665.57   26,742,177,672.29
购买商品、接受劳务支付的现金         15,424,980,430.62   15,663,114,368.47   13,867,154,114.14



                                           236
中芯国际集成电路制造有限公司                                                       招股意向书


                 项目                   2019 年度            2018 年度            2017 年度
支付给职工以及为职工支付的现金        4,359,406,185.11     4,644,040,320.34     4,410,754,987.48
支付的各项税费                          165,884,569.28       287,913,291.19       141,264,799.59
支付其他与经营活动有关的现金            924,933,519.94     1,272,899,739.03       553,928,796.16
经营活动现金流出小计                 20,875,204,704.95    21,867,967,719.03    18,973,102,697.37
经营活动产生的现金流量净额            8,139,992,542.96     5,209,908,946.54     7,769,074,974.92
二、投资活动产生的现金流量
收回投资收到的现金                   22,507,517,647.20    23,291,937,128.94      1,276,111,213.83
取得投资收益所收到的现金                839,498,971.53       241,697,513.92        66,437,166.64
处置固定资产、无形资产和其他长期资
                                        525,288,421.51     2,543,837,098.95     4,698,205,682.56
产收回的现金
处置子公司及其他营业单位收到的现金
                                        976,581,377.15                     -                    -
净额
投资活动现金流入小计                 24,848,886,417.39    26,077,471,741.81     6,040,754,063.03
购建固定资产、无形资产和其他长期资
                                     12,722,754,916.66    11,602,929,259.99    15,844,438,552.25
产支付的现金
投资支付的现金                       25,657,568,261.34    35,035,245,813.72     8,661,226,027.44
取得子公司及其他营业单位支付的现金
                                         21,681,653.48        34,092,472.41                     -
净额
投资活动现金流出小计                 38,402,004,831.48    46,672,267,546.12    24,505,664,579.69
投资活动产生的现金流量净额           -13,553,118,414.09   -20,594,795,804.31   -18,464,910,516.66
三、筹资活动产生的现金流量
吸收投资收到的现金                    7,953,870,759.00    11,176,099,214.24     4,101,940,712.84
取得借款收到的现金                    9,158,278,583.74     6,038,796,744.83     8,452,319,303.56
发行债券收到的现金                    8,116,947,200.00     3,315,920,000.00       423,925,691.16
收到其他与筹资活动有关的现金             48,743,951.84       202,634,725.23       242,743,374.57
筹资活动现金流入小计                 25,277,840,494.58    20,733,450,684.30    13,220,929,082.13
偿还债务支付的现金                   15,413,364,760.57     3,776,967,712.54     4,182,390,033.23
分配股利、利润或偿付利息支付的现金      774,364,672.80       630,124,673.91       547,954,793.47
支付其他与筹资活动有关的现金            803,607,459.02       137,007,620.00                     -
筹资活动现金流出小计                 16,991,336,892.39     4,544,100,006.45     4,730,344,826.70
筹资活动产生的现金流量净额            8,286,503,602.19    16,189,350,677.85     8,490,584,255.43
四、汇率变动对现金及现金等价物的影
                                        425,838,827.05      -487,281,663.84      -531,064,562.00
响
五、现金及现金等价物净增加额          3,299,216,558.11       317,182,156.24     -2,736,315,848.31
加:年初现金及现金等价物余额         12,329,002,582.04    12,011,820,425.80    14,748,136,274.11
六、年末现金及现金等价物余额         15,628,219,140.15    12,329,002,582.04    12,011,820,425.80




                                           237
中芯国际集成电路制造有限公司                                        招股意向书


二、财务报表的编制基础、合并财务报表范围及变化情况

(一)财务报表的编制基础

     公司财务报表以持续经营为基础,按照财政部于 2006 年 2 月 15 日及以后期

间颁布的《企业会计准则——基本准则》、各项具体会计准则及相关规定以及中

国证监会《公开发行证券的公司信息披露编报规则第 15 号——财务报告的一般

规定》和《公开发行证券的公司信息披露编报规则第 24 号——科创板创新试点

红筹企业财务报告信息特别规定》的规定编制。

(二)合并财务报表范围

     报告期内本公司的合并范围如下:

                                              持股比例                   取得
             子公司名称
                                 2019 年末   2018 年末    2017 年末      方式

中芯上海                         100.00%      100.00%      100.00%       设立
中芯北京                         100.00%      100.00%      100.00%       设立
中芯天津                         100.00%      100.00%      100.00%       设立
中芯深圳                         100.00%      100.00%      100.00%       设立
中芯北方                          51.00%      51.00%       51.00%        设立
中芯南方                          50.10%      51.32%       100.00%       设立
中芯长电                          55.97%      56.05%       56.05%        设立
中芯新技术                       100.00%      97.45%       94.87%        设立
中芯控股                         100.00%      100.00%      100.00%       设立
中芯集电                         100.00%      100.00%      100.00%       设立
成都开发                         100.00%      100.00%      100.00%       设立
中芯晶圆                         100.00%      100.00%      100.00%       设立
宁波设计                         100.00%      100.00%      100.00%       设立
芯电上海                         100.00%      100.00%      100.00%       设立
上海合芯                          99.00%      99.00%       99.00%        设立
北京市中芯学校                   100.00%      100.00%      100.00%       设立
上海市民办中芯学校               100.00%      100.00%      100.00%       设立
SilTech Cayman                   100.00%      100.00%      100.00%       设立
SH Cayman                        100.00%      100.00%      100.00%       设立
Better Way                       100.00%      100.00%      100.00%       设立
TJ Cayman                        100.00%      100.00%      100.00%       设立
SMIC BVI                         100.00%      100.00%      100.00%       设立



                                    238
中芯国际集成电路制造有限公司                                          招股意向书


                                                持股比例                   取得
                子公司名称
                                    2019 年末   2018 年末   2017 年末      方式

SMIC Americas                       100.00%     100.00%      100.00%       设立
SMIC Europe                         100.00%     100.00%      100.00%       设立
SMIC Japan                          100.00%     100.00%      100.00%       设立
BJ Cayman                           100.00%     100.00%      100.00%       设立
Solar Cell                          100.00%     100.00%      100.00%       设立
SZ Cayman                           100.00%     100.00%      100.00%       设立
SilTech HK                          100.00%     100.00%      100.00%       设立
Magnificent                         100.00%     100.00%      100.00%       设立
TJ HK                               100.00%     100.00%      100.00%       设立
BJ HK                               100.00%     100.00%      100.00%       设立
Solar Cell HK                       100.00%     100.00%      100.00%       设立
SZ HK                               100.00%     100.00%      100.00%       设立
SJ CA                                  55.97%    56.05%      56.05%        设立
SJ HK                                  55.97%    56.05%      56.05%        设立
SJ USA                                 55.97%    56.05%      56.05%        设立
中芯晶圆宁波                        100.00%     100.00%         -          设立
北京创新                               66.67%    51.00%         -          设立
SMIC HK                                  -      100.00%      100.00%       设立
LFoundry                                 -       70.00%      70.00%        收购
SMIC(Sofia)EOOD                        -      100.00%      100.00%       设立
Admiral Investment                       -      100.00%      100.00%       设立
北京中芯幼儿园                           -      100.00%      100.00%       设立
北京市大兴区中芯南海子幼儿园             -      100.00%      100.00%       设立
上海市民办中芯幼儿园                     -      100.00%      100.00%       设立
中芯宁波                                 -          -        53.73%        设立
中芯能源                                 -          -        100.00%       设立


(三)合并财务报表变化情况

        1、2017 年度合并范围变化情况

        子公司 SMIC(Sofia)EOOD 于 2017 年 3 月注册成立,宁波设计于 2017 年
10 月注册成立,公司自 2017 年度起将上述公司纳入合并范围。

        公司持有的上海荣芯投资合伙企业(有限合伙)份额于 2017 年 4 月全部对
外转让,公司自 2017 年度起不再将其纳入合并范围。




                                         239
中芯国际集成电路制造有限公司                                        招股意向书

       2、2018 年度合并范围变化情况

    子公司北京创新于 2018 年 5 月完成注资,子公司中芯晶圆宁波于 2018 年 2
月成立,公司自 2018 年度起将上述公司纳入合并范围。

    公司持有的子公司中芯宁波股权于 2018 年 3 月部分对外转让并失去控制权;
子公司中芯能源于 2018 年 8 月完成注销。公司自 2018 年度起不再将上述公司纳
入合并范围。

       3、2019 年度合并范围变化情况

    公司持有的子公司 SMIC HK 及其旗下 LFoundry、SMIC(Sofia)EOOD 的股
权于 2019 年 7 月全部对外转让;子公司 Admiral Investment 于 2019 年 10 月完成
注销;2019 年 9 月,公司对上海市民办中芯幼儿园、北京中芯幼儿园及北京市
大兴区中芯南海子幼儿园的历史投入出资作为对其捐赠,且公司自始不享有其办
学收益,仅按章程享有举办人的权利和承担相应责任,公司自 2019 年度起不再
将上述公司或组织纳入合并范围。


三、审计意见

    公司已聘请普华永道对本公司财务报表进行审计,包括 2017 年 12 月 31 日、

2018 年 12 月 31 日及 2019 年 12 月 31 日的合并资产负债表,2017 年度、2018

年度及 2019 年度的合并利润表、合并现金流量表、合并股东权益变动表以及相

关财务报表附注。

    普华永道出具了普华永道中天审字(2020)第 11026 号无保留意见的审计报
告。普华永道认为,公司的财务报表在所有重大方面按照企业会计准则的规定编
制,公允反映了公司 2017 年 12 月 31 日、2018 年 12 月 31 日及 2019 年 12 月 31
日合并财务状况以及 2017 年度、2018 年度及 2019 年度合并经营成果和现金流
量。


四、与财务会计信息相关的重大事项或重要性水平的判断标准

    公司根据自身所处的行业和发展阶段,从项目的性质和金额两方面判断财务
信息的重要性。在判断项目性质的重要性时,公司主要考虑该项目在性质上是否

                                      240
中芯国际集成电路制造有限公司                                 招股意向书

属于日常活动、是否显著影响公司的财务状况、经营成果和现金流量等因素;在
判断项目金额大小的重要性时,公司主要考虑该项目金额占总资产、总负债等直
接相关项目金额情况或报告期各期同比变动情况,具体为:超过报告期各期末资
产总额、负债总额 5%的资产、负债类科目以及公司认为重要的损益表科目。


五、关键审计事项

    关键审计事项是普华永道根据职业判断,认为对本期财务报表审计最为重要
的事项。


(一)合营企业和联营企业投资组合公允价值计量

    相关会计期间:2018 年度及 2019 年度

    1、具体内容:

    作为有限合伙人,公司投资于多个投资基金。公司根据权益法将上述投资基
金确认为对合营企业和联营企业投资。

    上述投资基金持有多项投资组合,投资基金按公允价值计量其投资组合中的
投资。这些投资组合中投资的估值主要基于适用的估值方法以及合适的估值假设
进行。

    考虑到投资基金余额的重大程度、投资组合数量、投资组合中投资估值的复
杂程度及估值方法与假设所涉及的管理层判断的重要程度,因此将合营企业和联
营企业投资组合公允价值计量识别为关键审计事项。

    2、审计应对:

    在审计中,普华永道执行了以下程序:

    了解、评价及测试估值过程的相关控制,包括管理层对适用的估值方法及使
用的估值假设的复核及批准。

    在内部估值专家的协助下,评估所使用的估值方法及假设的合适性并已基于
抽样实施以下程序:

    (1)对于在活跃市场买卖的投资,将公司采用的公允价值与公开可得市场

                                  241
中芯国际集成电路制造有限公司                                  招股意向书

数据进行比较,以评估公允价值计量的合理性;

    (2)对于近期有股权交易的投资,查阅最近的投资协议、理解相关投资条
款并将公司采用的公允价值与相关协议列明的交易价格进行比较,以评估公允价
值计量的合理性;

    (3)对于没有直接公开市场报价或近期股权交易的投资组合,基于行业知
识以及可比公司的信息评估公司所采用的估值方法及估值假设的合理性;

    (4)测试估值模型所使用的数学计算的准确性。


(二)机器设备的售后租回交易

    相关会计期间:2017 年度及 2018 年度

    1、具体内容:

    公司的一批机器设备存在售后租回安排,该售后租回附回购选择权。管理层
需对该租回交易是否构成租赁及是否形成经营租赁作出判断。

    基于以下原因,管理层判断回购选择权的行使并非几乎确定,因此该售后租
回交易属于租赁:

    (1)回购选择权的行权价格并未明显低于可行使回购选择权时机器设备的
预期公允价值;及

    (2)未识别出促使公司行使回购选择权的其他重大经济动因。

    此外,管理层判断该租赁为经营租赁,原因如下:

    (1)机器设备的所有权于租赁期结束时将不会转移至公司;

    (2)租赁期并未涵盖机器设备预期使用寿命的大部分期间;

    (3)机器设备的最低租赁付款额的现值不接近于租赁开始日的机器设备的
公允价值;及

    (4)该机器设备并不属于在无需作出重大改造的基础上只有公司才能使用
的专门设备。



                                  242
中芯国际集成电路制造有限公司                                 招股意向书

    由于售后租回交易对合并财务报表具有重大影响,且涉及重大的管理层判断,
因此将此事项确定为关键审计事项。

       2、审计应对:

    在审计中,普华永道执行了以下程序:

    (1)查阅法律文件以检查该交易的主要条款。

    (2)评估管理层对回购选择权的行使并非几乎确定的判断是否恰当:

    ①独立分析类似机器设备的价格趋势及考虑半导体市场前景,评估管理层对
机器设备于回购选择权可行使时公允价值的预期是否合理;

    ②比较行权价格与机器设备预期公允价值,评估管理层对回购选择权的行权
价格并未明显低于可行使回购选择权时机器设备的预期公允价值的判断是否合
理;

    ③查阅法律文件并检查机器设备重置成本,评估是否有任何其他重大经济动
因可能促使公司行使回购选择权。

    (3)评估管理层对该租赁为经营租赁的判断是否恰当:

    ①查阅法律文件以确定机器设备的所有权于租赁期结束时将不会转移至公
司;

    ②收集类似机器设备的使用寿命信息,评估管理层对机器设备使用寿命的估
计是否合理;

    ③重新计算最低租赁付款额的现值并将其与机器设备于租赁开始日的公允
价值进行比较;

    ④了解机器设备的性质,并查阅机器设备的公开市场信息,以确定该机器设
备是否不属于在无需作出重大改造的基础上只有公司才能使用的专门设备。




                                   243
中芯国际集成电路制造有限公司                                   招股意向书


六、主要会计政策和会计估计

(一)收入确认

    公司的收入类型主要包括集成电路晶圆代工、光掩模制造、知识产权授权和
提供劳务,逐一分析如下:

    1、集成电路晶圆代工

    (1)收入确认原则

    2018 年度及 2019 年度:将产品按照合同规定运至约定交货地点,在客户取
得货物且达到控制权转移时点后确认收入。本公司给予客户的信用期根据客户的
信用风险特征确定,与行业惯例一致,不存在重大融资成分。本公司已收或应收
客户对价而应向客户转让商品的义务列示为合同负债。

    2017 年度:在已将产品所有权上的主要风险和报酬转移给买方,既没有保
留通常与所有权相联系的继续管理权,也没有对已售产品实施有效控制,收入的
金额能够可靠地计量,相关的经济利益很可能流入企业,相关的已发生或将发生
的成本能够可靠地计量时,确认产品销售收入的实现。

    满足下列条件之一的,公司在某一时段内确认收入。否则,公司按时点确认
收入:

    ①客户在企业履约的同时即取得并消耗公司履约所带来的经济利益。

    ②客户能够控制公司履约过程中在建的商品。

    ③公司履约过程中所产出的商品具有不可替代用途,且公司在整个合同期间
内有权就累计至今已完成的履约部分收取款项。

    (2)合同条款及收入确认具体时点

    公司根据集成电路晶圆代工合同实际贸易条款约定判定收入具体确认时点,
即控制权转移时点(适用于 2018 年度及 2019 年度)或商品所有权上的主要风险
和报酬转移时点(适用于 2017 年度),主要涉及 5 种贸易条款,具体如下:

    ①EXW:卖方在其所在地或其他指定的地点将货物交给买方处置时,即完

                                  244
中芯国际集成电路制造有限公司                                   招股意向书

成交货并确认收入,卖方不办理出口清关手续或将货物装上任何运输工具;

    ②CIF:成本加保险费加运费,货价的构成因素中包括从装运港至约定目的
地港的通常运费和约定的保险费,货物交给买方指定的承运人时即完成交货并确
认收入;

    ③DDU:未完税交货,即卖方将备好的货物,在进口国指定的地点交付,
而且须承担货物运至指定地点的一切费用和风险,另外须承担办理海关手续的费
用和风险,在指定的目的地将货物交给买方时完成交货并确认收入;

    ④FCA:货交承运人,卖方只要将货物在指定的地点交给买方指定的承运人,
并办理了出口清关手续,即完成交货并确认收入;

    ⑤FOB:按离岸价进行的交易,买方负责派船接运货物,卖方应在合同规定
的装运港和规定的期限内将货物装上买方指定的船只,并及时通知买方。货物在
装运港被装上指定船时,即完成交货并确认收入。

       2、光掩模制造

    光掩模制造的收入确认原则及收入确认具体时点与集成电路晶圆代工类似。
光掩模制造主要采用的贸易条款是 EXW,在完成交货时确认收入。

       3、知识产权授权

    (1)收入确认原则

    公司向客户授予知识产权许可,若同时满足下列条件的,公司按照时间进度
在一段时间内确认知识产权授权收入:

    ①合同要求或客户能够合理预期公司将从事对该项知识产权有重大影响的
活动;

    ②该活动对客户将产生有利或不利影响;

    ③该活动不会导致向客户转让某项商品。否则,公司于客户获得授权时确认
知识产权授权收入。公司于报告期内的知识产权授权收入均于客户获得授权时确
认。



                                 245
中芯国际集成电路制造有限公司                                   招股意向书

     (2)合同条款及收入确认具体时点

     公司在将技术文件转移给客户并与客户签订知识产权文件交接确认函时,确
认知识产权收入。

     4、提供劳务

     (1)收入确认原则

     2018 年度及 2019 年度:公司对外提供劳务,若满足下列条件之一的,公司
根据已完成服务的进度在一段时间内确认收入:a.客户在公司履约的同时即取得
并消耗公司履约所带来的经济利益;b.客户能够控制公司履约过程中在建的商品;
c.公司履约过程中所产出的商品具有不可替代用途,且公司在整个合同期间内有
权就累计至今已完成的履约部分收取款项。否则,公司于客户取得相关劳务控制
权时点确认收入。

     2017 年度:在提供劳务收入的金额能够可靠地计量,相关的经济利益很可
能流入企业,交易的完工程度能够可靠地确定,交易中已发生和将发生的成本能
够可靠地计量时,确认提供劳务收入的实现。

     (2)合同条款及收入确认具体时点

     根据合同规定,对于公司提供的凸块加工及测试服务等,公司将完成测试后
的半导体晶圆运至约定交货地点,在客户取得货物且达到控制权转移时点后确认
收入。

     5、同一订单涉及多项具体业务类型时的合同签订、履约义务识别、收入分
摊

     (1)合同签订

     公司与客户签署主协议。主协议包括产品的一般规格、取消条款、适用法律
和争议解决方法等。但是,由于主协议中未对最低数量及价格进行约定,即在主
协议中没有可执行的权利和义务。因此,主协议不被视为独立的合同。客户在需
要商品或服务时,会单独发出订单并在订单中明确所需各类商品及服务的价格、
数量、交付日期及交付条件等详细信息,随即产生可执行的权利和义务。因此,


                                   246
中芯国际集成电路制造有限公司                                 招股意向书

主协议与每个销售订单一起确认为合同。

    (2)履约义务识别

    合同开始日,公司对合同进行评估,识别该合同所包含的各单项履约义务,
并确定各单项履约义务是在某一时段内履行,还是在某一时点履行,然后,在履
行了各单项履约义务时分别确认收入。公司将向客户转让一系列实质相同且转让
模式相同的、可明确区分商品或服务的承诺作为单项履约义务。

    合同中包含两项或多项履约义务的,公司在合同开始日,按照各单项履约义
务所承诺商品的单独售价的相对比例,将交易价格分摊至各单项履约义务。公司
不因合同开始日之后单独售价的变动而重新分摊交易价格。公司按照在类似环境
下向类似客户单独销售商品或提供服务的价格,作为确定该商品或服务单独售价
的最佳证据。

    (3)收入分摊

    当合同涉及集成电路晶圆代工、光掩模制造及测试服务等多项业务时,由于
各项商品及服务涉及截然不同的履约义务,即公司向客户承诺的商品或服务可单
独区分,因此按照集成电路晶圆代工、光掩模制造及测试服务等各单项履约义务
履行时分别确认收入。合同中各单项履约义务的价格分别按照独立销售价格确认。


(二)成本核算

    公司具备完善的信息管理制度,采用标准成本法核算产品成本,于每月末对
材料采购价格差异及成本差异予以归集并按照物料成本进行分摊。

    具体流程和方法如下:

    第一,系统自动按照成本中心对人工、折旧、材料耗用等成本及费用进行分
类。

    第二,系统根据标准制造成本清单进行在产品的计价记账。

    第三,标准制造成本与实际制造成本的差异在系统中归集在相应的成本中心,
系统直接计入利润表科目。



                                 247
中芯国际集成电路制造有限公司                                  招股意向书

    第四,每月末,采购价格差异由成本会计人工在各晶圆厂及光罩厂之间根据
物料成本进行分摊。

    第五,制造费用成本差异根据存货耗用情况由成本会计在产品及成本间进行
分摊。

    第六,每月底,成本会计根据系统中的物料移动数据导出存货清单,并按照
每个晶圆厂的材料消耗数量进行检查,并对于系统中物料移动数据和实际发货数
量可能存在的差异进行调整。

    其中,新产品的试生产和小量生产为有偿服务,公司根据新产品的生产工艺
和材料耗用情况,制定产品标准成本。产品完工时以标准成本确认存货,并于每
月期末对标准成本与实际成本之间的差异进行分摊,调整存货的账面价值。发行
人将晶圆按照合同规定运至约定交货地点,在客户取得货物且达到控制权转移时
点后确认收入,并结转相应成本。


(三)记账本位币

    中芯国际记账本位币为美元,下属子公司根据其经营所处的主要经济环境确
定其记账本位币,中芯新技术、中芯晶圆、中芯晶圆宁波、上海合芯及北京创新
的记账本位币为人民币,SMIC Japan 的记账本位币为日元,SMIC Europe 的记账
本位币为欧元,其余子公司的记账本位币均为美元。本财务报表以人民币列示。


(四)企业合并

    1、同一控制下的企业合并

    合并方支付的合并对价及取得的净资产均按账面价值计量,如被合并方是最
终控制方以前年度从第三方收购来的,则以被合并方的资产、负债(包括最终控
制方收购被合并方而形成的商誉)在最终控制方合并财务报表中的账面价值为基
础。合并方取得的净资产账面价值与支付的合并对价账面价值的差额,调整资本
公积(股本溢价);资本公积(股本溢价)不足以冲减的,调整留存收益。为进
行企业合并发生的直接相关费用于发生时计入当期损益。为企业合并而发行权益
性证券或债务性证券的交易费用,计入权益性证券或债务性证券的初始确认金额。



                                  248
中芯国际集成电路制造有限公司                                 招股意向书

    2、非同一控制下的企业合并

    购买方发生的合并成本及在合并中取得的可辨认净资产按购买日的公允价
值计量。合并成本大于合并中取得的被购买方于购买日可辨认净资产公允价值份
额的差额,确认为商誉;合并成本小于合并中取得的被购买方可辨认净资产公允
价值份额的差额,计入当期损益。为进行企业合并发生的直接相关费用于发生时
计入当期损益。为企业合并而发行权益性证券或债务性证券的交易费用,计入权
益性证券或债务性证券的初始确认金额。


(五)合并财务报表的编制方法

    本公司将控制的所有子公司纳入合并财务报表的合并范围。

    从取得子公司的实际控制权之日起,本公司开始将其纳入合并范围;从丧失
实际控制权之日起停止纳入合并范围。对于同一控制下企业合并取得的子公司,
自其与本公司同受最终控制方控制之日起纳入合并范围,并将其在合并日前实现
的净利润在合并利润表中单列项目反映。

    在编制合并财务报表时,子公司与本公司采用的会计政策或会计期间不一致
的,按照本公司的会计政策和会计期间对子公司财务报表进行必要的调整。对于
非同一控制下企业合并取得的子公司,以购买日可辨认净资产公允价值为基础对
其财务报表进行调整。

    公司内所有重大往来余额、交易及未实现利润在合并财务报表编制时予以抵
销。子公司的股东权益、当期净损益及综合收益中不属于本公司所拥有的部分分
别作为少数股东权益、少数股东损益及归属于少数股东的综合收益总额在合并财
务报表中股东权益、净利润及综合收益总额项下单独列示。本公司向子公司出售
资产所发生的未实现内部交易损益,全额抵销归属于母公司股东的净利润;子公
司向本公司出售资产所发生的未实现内部交易损益,按本公司对该子公司的分配
比例在归属于母公司股东的净利润和少数股东损益之间分配抵销。子公司之间出
售资产所发生的未实现内部交易损益,按照母公司对出售方子公司的分配比例在
归属于母公司股东的净利润和少数股东损益之间分配抵销。




                                 249
中芯国际集成电路制造有限公司                                   招股意向书

    如果以本公司为会计主体与以母公司或子公司为会计主体对同一交易的认
定不同时,从本公司的角度对该交易予以调整。

    因购买子公司少数股权新增加的长期股权投资与按照新增持股比例计算应
享有子公司自购买日(或合并日)开始持续计算的净资产份额之间的差额,调整
资本公积(股本溢价),资本公积(股本溢价)不足冲减的,调整留存收益。在
不丧失控制权的情况下部分处置对子公司的长期股权投资,在合并财务报表中处
置价款与处置长期股权投资相对应享有子公司净资产份额的差额调整资本公积
(股本溢价),资本公积(股本溢价)不足冲减的,调整留存收益。

    因处置部分股权投资或其他原因丧失了对原有子公司控制权,在合并财务报
表中,对于剩余股权,按照其在丧失控制权日的公允价值进行重新计量。处置股
权取得的对价与剩余股权公允价值之和,减去按原持股比例计算应享有原有子公
司自购买日开始持续计算的净资产的份额与商誉之和的差额,计入丧失控制权当
期的投资收益。与原有子公司股权投资相关的其他综合收益,在丧失控制权时转
为当期投资收益。


(六)现金及现金等价物

    现金及现金等价物是指库存现金、可随时用于支付的存款,以及持有的期限
短、流动性强、易于转换为已知金额现金及价值变动风险很小的投资。


(七)外币折算

    1、外币交易

    外币交易按交易发生日的即期汇率将外币金额折算为记账本位币入账。

    于资产负债表日,外币货币性项目采用资产负债表日的即期汇率折算为记账
本位币。为购建符合借款费用资本化条件的资产而借入的外币专门借款产生的汇
兑差额在资本化期间内予以资本化;其他汇兑差额直接计入当期损益。以历史成
本计量的外币非货币性项目,于资产负债表日采用交易发生日的即期汇率折算。
汇率变动对现金的影响额,在现金流量表中单独列示。




                                 250
中芯国际集成电路制造有限公司                                       招股意向书

    2、外币财务报表的折算

    非人民币资产负债表中的资产和负债项目,采用资产负债表日的即期汇率折
算,股东权益中除未分配利润项目外,其他项目采用发生时的即期汇率折算。非
人民币利润表中的收入与费用项目,采用交易发生日的即期汇率折算。上述折算
产生的外币报表折算差额,计入其他综合收益。非人民币现金流量项目,采用现
金流量发生日的即期汇率折算。汇率变动对现金的影响额,在现金流量表中单独
列示。


(八)金融工具

    金融工具,是指形成一方的金融资产并形成其他方的金融负债或权益工具的
合同。当本公司成为金融工具合同的一方时,确认相关的金融资产或金融负债。


    1、自 2018 年 1 月 1 日开始执行的会计政策

    财政部于 2017 年颁布了修订后的《企业会计准则第 22 号——金融工具确认
和计量》《企业会计准则第 23 号——金融资产转移》《企业会计准则第 24 号——
套期保值》及《企业会计准则第 37 号——金融工具列报》等(以下合称“新金
融工具准则”),本公司自 2018 年 1 月 1 日起执行新金融工具准则。

    根据执行新金融工具准则的相关规定,本公司对于首次执行该准则的累积影
响数调整 2018 年年初留存收益以及财务报表其他相关项目金额,2017 年度的比
较财务报表未重列。

    新金融工具准则主要会计政策及会计估计如下:

    (1)金融资产

    ①分类和计量

    本公司根据管理金融资产的业务模式和金融资产的合同现金流量特征,将金
融资产划分为:①以摊余成本计量的金融资产;②以公允价值计量且其变动计入
其他综合收益的金融资产;③以公允价值计量且其变动计入当期损益的金融资产。

    金融资产在初始确认时以公允价值计量。对于以公允价值计量且其变动计入
当期损益的金融资产,相关交易费用直接计入当期损益;对于其他类别的金融资

                                    251
中芯国际集成电路制造有限公司                                 招股意向书

产,相关交易费用计入初始确认金额。因销售产品或提供劳务而产生的、未包含
或不考虑重大融资成分的应收账款或应收票据,本公司按照预期有权收取的对价
金额作为初始确认金额。

    A.债务工具

    本公司持有的债务工具是指从发行方角度分析符合金融负债定义的工具,分
别采用以下三种方式进行计量:

    a.以摊余成本计量:

    本公司管理此类金融资产的业务模式为以收取合同现金流量为目标,且此类
金融资产的合同现金流量特征与基本借贷安排相一致,即在特定日期产生的现金
流量,仅为对本金和以未偿付本金金额为基础的利息的支付。本公司对于此类金
融资产按照实际利率法确认利息收入。此类金融资产主要包括货币资金、应收票
据及应收账款、其他应收款、债权投资和长期应收款等。本公司将自资产负债表
日起一年内(含一年)到期的债权投资和长期应收款,列示为一年内到期的非流
动资产;取得时期限在一年内(含一年)的债权投资列示为其他流动资产。

    b.以公允价值计量且其变动计入其他综合收益:

    本公司管理此类金融资产的业务模式为既以收取合同现金流量为目标又以
出售为目标,且此类金融资产的合同现金流量特征与基本借贷安排相一致。此类
金融资产按照公允价值计量且其变动计入其他综合收益,但减值损失或利得、汇
兑损益和按照实际利率法计算的利息收入计入当期损益。此类金融资产列示为其
他债权投资,自资产负债表日起一年内(含一年)到期的其他债权投资,列示为
一年内到期的非流动资产;取得时期限在一年内(含一年)的其他债权投资列示
为其他流动资产。

    c.以公允价值计量且其变动计入当期损益:

    本公司将持有的未划分为以摊余成本计量和以公允价值计量且其变动计入
其他综合收益的债务工具,以公允价值计量且其变动计入当期损益,列示为交易
性金融资产。在初始确认时,本公司为了消除或显著减少会计错配,将部分金融




                                 252
中芯国际集成电路制造有限公司                                  招股意向书

资产指定为以公允价值计量且其变动计入当期损益的金融资产。自资产负债表日
起超过一年到期且预期持有超过一年的,列示为其他非流动金融资产。

    B.权益工具

    本公司将对其没有控制、共同控制和重大影响的权益工具投资按照公允价值
计量且其变动计入当期损益,列示为交易性金融资产;自资产负债表日起预期持
有超过一年的,列示为其他非流动金融资产。

    此外,本公司将部分非交易性权益工具投资指定为以公允价值计量且其变动
计入其他综合收益的金融资产,列示为其他权益工具投资。该类金融资产的相关
股利收入计入当期损益。

       ②减值

    本公司对于以摊余成本计量的金融资产、以公允价值计量且其变动计入其他
综合收益的债务工具投资和财务担保合同等,以预期信用损失为基础确认损失准
备。

    本公司考虑有关过去事项、当前状况以及对未来经济状况的预测等合理且有
依据的信息,以发生违约的风险为权重,计算合同应收的现金流量与预期能收到
的现金流量之间差额的现值的概率加权金额,确认预期信用损失。

    于每个资产负债表日,本公司对于处于不同阶段的金融工具的预期信用损失
分别进行计量。金融工具自初始确认后信用风险未显著增加的,处于第一阶段,
本公司按照未来 12 个月内的预期信用损失计量损失准备;金融工具自初始确认
后信用风险已显著增加但尚未发生信用减值的,处于第二阶段,本公司按照该工
具整个存续期的预期信用损失计量损失准备;金融工具自初始确认后已经发生信
用减值的,处于第三阶段,本公司按照该工具整个存续期的预期信用损失计量损
失准备。

    对于在资产负债表日具有较低信用风险的金融工具,本公司假设其信用风险
自初始确认后并未显著增加,按照未来 12 个月内的预期信用损失计量损失准备。




                                  253
中芯国际集成电路制造有限公司                                      招股意向书

    本公司对于处于第一阶段和第二阶段以及较低信用风险的金融工具,按照其
未扣除减值准备的账面余额和实际利率计算利息收入。对于处于第三阶段的金融
工具,按照其账面余额减已计提减值准备后的摊余成本和实际利率计算利息收入。

    对于因销售商品或提供劳务等日常经营活动形成的应收票据及应收账款,无
论是否存在重大融资成分,本公司均按照整个存续期的预期信用损失计量损失准
备。

    当单项金融资产无法以合理成本评估预期信用损失的信息时,本公司依据信
用风险特征将应收款项划分为若干组合,在组合基础上计算预期信用损失,确定
组合的依据如下:

组合 1   银行承兑汇票组合      银行承兑汇票
组合 2   应收账款组合          应收账款
                               押金、保证金及保险赔偿款等信用风险较低的应收款
组合 3   押金组合
                               项
组合 4   政府相关款项组合      应收政府机构款
组合 5   其他组合              除以上组合以外的应收款项

    对于划分为组合的应收票据,本公司参考历史信用损失经验,结合当前状况
以及对未来经济状况的预测,通过违约风险敞口和整个存续期预期信用损失率,
计算预期信用损失。

    对于划分为组合的应收账款,本公司参考历史信用损失经验,结合当前状况
以及对未来经济状况的预测,编制应收账款账期天数与整个存续期预期信用损失
率对照表,计算预期信用损失。

    对于划分为组合的其他应收款,本公司参考历史信用损失经验,结合当前状
况以及对未来经济状况的预测,通过违约风险敞口和未来 12 个月内或整个存续
期预期信用损失率,计算预期信用损失。

    本公司将计提或转回的损失准备计入当期损益。对于持有的以公允价值计量
且其变动计入其他综合收益的债务工具,本公司在将减值损失或利得计入当期损
益的同时调整其他综合收益。




                                   254
中芯国际集成电路制造有限公司                                  招股意向书

    ③终止确认

    金融资产满足下列条件之一的,予以终止确认:①收取该金融资产现金流量
的合同权利终止;②该金融资产已转移,且本公司将金融资产所有权上几乎所有
的风险和报酬转移给转入方;③该金融资产已转移,虽然本公司既没有转移也没
有保留金融资产所有权上几乎所有的风险和报酬,但是放弃了对该金融资产控制。

    其他权益工具投资终止确认时,其账面价值与收到的对价以及原直接计入其
他综合收益的公允价值变动累计额之和的差额,计入留存收益;其余金融资产终
止确认时,其账面价值与收到的对价以及原直接计入其他综合收益的公允价值变
动累计额之和的差额,计入当期损益。

    (2)金融负债

    金融负债于初始确认时分类为以摊余成本计量的金融负债和以公允价值计
量且其变动计入当期损益的金融负债。

    本公司的金融负债主要为以摊余成本计量的金融负债,包括应付票据及应付
账款、其他应付款、借款及应付债券等。该类金融负债按其公允价值扣除交易费
用后的金额进行初始计量,并采用实际利率法进行后续计量。期限在一年以下(含
一年)的,列示为流动负债;期限在一年以上但自资产负债表日起一年内(含一
年)到期的,列示为一年内到期的非流动负债;其余列示为非流动负债。

    当金融负债的现时义务全部或部分已经解除时,本公司终止确认该金融负债
或义务已解除的部分。终止确认部分的账面价值与支付的对价之间的差额,计入
当期损益。

    (3)金融工具的公允价值确定

    存在活跃市场的金融工具,以活跃市场中的报价确定其公允价值。不存在活
跃市场的金融工具,采用估值技术确定其公允价值。在估值时,本公司采用在当
前情况下适用并且有足够可利用数据和其他信息支持的估值技术,选择与市场参
与者在相关资产或负债的交易中所考虑的资产或负债特征相一致的输入值,并尽
可能优先使用相关可观察输入值。在相关可观察输入值无法取得或取得不切实可
行的情况下,使用不可观察输入值。


                                   255
中芯国际集成电路制造有限公司                                 招股意向书

    (4)衍生金融工具及套期工具

    本公司持有或发行的衍生金融工具主要用于管理风险敞口。衍生金融工具初
始以衍生交易合同签订当日的公允价值进行确认,并以其公允价值进行后续计量。
当公允价值为正数时,作为资产反映;当公允价值为负数时,作为负债反映。

    衍生金融工具公允价值变动的确认方式取决于该项衍生金融工具是否被指
定为套期工具并符合套期工具的要求,以及此种情况下被套期项目的性质。未指
定为套期工具及不符合套期工具要求的衍生金融工具,包括以为特定利率和汇率
风险提供套期保值为目的、但不符合套期会计要求的衍生金融工具,其公允价值
的变动计入利润表的“公允价值变动收益”。

    本公司于套期开始时为套期工具与被套期项目之间的关系、风险管理目标和
进行各类套期交易时的策略准备了正式书面文件。本公司还于套期开始及以后期
间书面评估了套期业务中使用的衍生金融工具在抵销被套期项目的公允价值变
动或现金流量变动方面是否仍然符合有效性的要求。这些标准在该套期被确认为
适用套期会计前予以满足。

    ①现金流量套期

    现金流量套期是指对现金流量变动风险进行的套期。套期工具利得或损失中
属于有效套期的部分,本公司直接将其计入其他综合收益,并单列项目反映。对
于套期工具利得或损失中属于无效套期的部分,则计入当期损益。

    被套期项目是使本公司面临现金流量变动风险,且被指定为被套期对象的项
目。本公司指定为被套期项目有使本公司面临现金流量变动风险的浮动利率借款
和固定利率借款等。

    套期工具是本公司为进行套期而指定的、其现金流量变动预期可抵销被套期
项目的现金流量变动的衍生工具。

    如果被套期的预期交易随后确认为非金融资产或非金融负债,或非金融资产
或非金融负债的预期交易形成适用公允价值套期的确定承诺时,则原在其他综合
收益中确认的现金流量套期储备金额转出,计入该资产或负债的初始确认金额。




                                  256
中芯国际集成电路制造有限公司                                    招股意向书

其余现金流量套期在被套期的预期现金流量影响损益的相同期间,如预期销售发
生时,将其他综合收益中确认的现金流量套期储备转出,计入当期损益。

    原直接计入股东权益中套期工具利得或损失,在被套期预期交易影响损益的
相同期间转出,计入当期损益。

    当套期工具已到期、被出售、合同终止或已行使时或套期不再满足套期会计
方法的条件时,原已计入其他综合收益中的套期工具的累计利得或损失暂不转出,
直至预期交易实际发生时才被重分类至当期利润表。如果预期交易预计不会发生,
则原已计入其他综合收益中的累计利得或损失应转出,计入当期利润表。


    2、2018 年 1 月 1 日前执行的会计政策

    本公司于 2017 年度仍按照财政部于 2006 年颁布的《企业会计准则第 22 号
——金融工具确认和计量》《企业会计准则第 23 号——金融资产转移》《企业会
计准则第 24 号——套期保值》及《企业会计准则第 37 号——金融工具列报》等。
主要会计政策及会计估计如下:

    (1)金融资产

    ①金融资产分类

    金融资产于初始确认时分类为:以公允价值计量且其变动计入当期损益的金
融资产、应收款项、可供出售金融资产和持有至到期投资。金融资产的分类取决
于本公司对金融资产的持有意图和持有能力。

    A.以公允价值计量且其变动计入当期损益的金融资产

    以公允价值计量且其变动计入当期损益的金融资产包括持有目的为短期内
出售的金融资产。

    B.应收款项

    应收款项是指在活跃市场中没有报价、回收金额固定或可确定的非衍生金融
资产。

    C.可供出售金融资产



                                   257
中芯国际集成电路制造有限公司                                  招股意向书

    可供出售金融资产包括初始确认时即被指定为可供出售的非衍生金融资产
及未被划分为其他类的金融资产。自资产负债表日起一年内(含一年)将出售的
可供出售金融资产在资产负债表中列示为其他流动资产。

    D.持有至到期投资

    持有至到期投资是指到期日固定、回收金额固定或可确定,且管理层有明确
意图和能力持有至到期的非衍生金融资产。取得时期限超过一年但自资产负债表
日起一年内(含一年)到期的持有至到期投资,列示为一年内到期的非流动资产;
取得时期限在一年之内(含一年)的持有至到期投资,列示为其他流动资产。

    ②确认和计量

    金融资产于本公司成为金融工具合同的一方时,按公允价值在资产负债表内
确认。以公允价值计量且其变动计入当期损益的金融资产,取得时发生的相关交
易费用计入当期损益;其他金融资产的相关交易费用计入初始确认金额。

    以公允价值计量且其变动计入当期损益的金融资产和可供出售金融资产按
照公允价值进行后续计量,但在活跃市场中没有报价且其公允价值不能可靠计量
的权益工具投资,按照成本计量;应收款项以及持有至到期投资采用实际利率法,
以摊余成本计量。

    以公允价值计量且其变动计入当期损益的金融资产的公允价值变动作为公
允价值变动损益计入当期损益;在资产持有期间所取得的利息或现金股利以及处
置时产生的处置损益计入当期损益。

    除减值损失及外币货币性金融资产形成的汇兑损益外,可供出售金融资产公
允价值变动直接计入股东权益,待该金融资产终止确认时,原直接计入权益的公
允价值变动累计额转入当期损益。可供出售债务工具投资在持有期间按实际利率
法计算的利息,以及被投资单位已宣告发放的与可供出售权益工具投资相关的现
金股利,作为投资收益计入当期损益。




                                   258
中芯国际集成电路制造有限公司                                 招股意向书

    ③金融资产减值

    除以公允价值计量且其变动计入当期损益的金融资产外,本公司于资产负债
表日对金融资产的账面价值进行检查,如果有客观证据表明某项金融资产发生减
值的,计提减值准备。

    表明金融资产发生减值的客观证据,是指金融资产初始确认后实际发生的、
对该金融资产的预计未来现金流量有影响,且本公司能够对该影响进行可靠计量
的事项。

    表明可供出售权益工具投资发生减值的客观证据包括权益工具投资的公允
价值发生严重或非暂时性下跌。本公司于资产负债表日对各项可供出售权益工具
投资单独进行检查,若该权益工具投资于资产负债表日的公允价值低于其初始投
资成本超过 50%(含 50%)或低于其初始投资成本持续时间超过一年(含一年)
的,则表明其发生减值;若该权益工具投资于资产负债表日的公允价值低于其初
始投资成本超过 20%(含 20%)但尚未达到 50%的,本公司会综合考虑其他相
关因素诸如价格波动率等,判断该权益工具投资是否发生减值。本公司以加权平
均法计算可供出售权益工具投资的初始投资成本。

    以摊余成本计量的金融资产发生减值时,按预计未来现金流量(不包括尚未
发生的未来信用损失)现值低于账面价值的差额,计提减值准备。如果有客观证
据表明该金融资产价值已恢复,且客观上与确认该损失后发生的事项有关,原确
认的减值损失予以转回,计入当期损益。

    以公允价值计量的可供出售金融资产发生减值时,原直接计入股东权益的因
公允价值下降形成的累计损失予以转出并计入减值损失。对已确认减值损失的可
供出售债务工具投资,在期后公允价值上升且客观上与确认原减值损失后发生的
事项有关的,原确认的减值损失予以转回并计入当期损益。对已确认减值损失的
可供出售权益工具投资,期后公允价值上升直接计入股东权益。

    以成本计量的可供出售金融资产发生减值时,将其账面价值与按照类似金融
资产当时市场收益率对未来现金流量折现确定的现值之间的差额,确认为减值损
失,计入当期损益。已发生的减值损失以后期间不再转回。



                                 259
中芯国际集成电路制造有限公司                                      招股意向书

     ④金融资产的终止确认

    金融资产满足下列条件之一的,予以终止确认:A.收取该金融资产现金流量
的合同权利终止;B.该金融资产已转移,且本公司将金融资产所有权上几乎所有
的风险和报酬转移给转入方;或者 C.该金融资产已转移,虽然本公司既没有转
移也没有保留金融资产所有权上几乎所有的风险和报酬,但是放弃了对该金融资
产控制。

    金融资产终止确认时,其账面价值与收到的对价以及原直接计入股东权益的
公允价值变动累计额之和的差额,计入当期损益。

     (2)应收款项

    应收款项包括因销售商品或提供劳务等日常经营活动形成的应收账款、其他
应收款、应收票据等。本公司对外销售商品或提供劳务形成的应收账款,按从购
货方或劳务接受方应收的合同或协议价款的公允价值作为初始确认金额。

     ①单项计提坏账准备的应收款项

    单项金额重大的判断标准为:单项金额超过人民币 1,000,000.00 元。

    单项金额重大并单独计提坏账准备的计提方法为:根据应收款项的预计未来
现金流量现值低于其账面价值的差额进行计提。

     ②按组合计提坏账准备的应收款项

    对于单项金额不重大的应收款项,与经单独测试后未减值的应收款项一起按
信用风险特征划分为若干组合,根据以前年度与之具有类似信用风险特征的应收
款项组合的实际损失率为基础,结合现时情况确定应计提的坏账准备。

    确定组合的依据如下:

组合 1     政府相关款项组合    应收政府机构款
                               备用押金、保证金、应收利息等信用风险较低的应收
组合 2     押金组合
                               款项
组合 3     账龄组合            除以上组合以外的应收款项

    按组合计提坏账准备的计提方法如下:



                                    260
中芯国际集成电路制造有限公司                                           招股意向书

                对应收政府机构的信用风险进行分析,依据可回收性判断应收账款坏账风
组合 1
                险,决定是否单项计提坏账准备
                对应收押金、保证金、利息的机构的信用风险进行分析,依据可回收性判断
组合 2
                应收账款坏账风险,决定是否单项计提坏账准备
组合 3          账龄分析法

    组合中,采用账龄分析法的计提比例列示如下:

             账龄                应收账款计提比例           其他应收款计提比例
六个月以内                                       0.10%                      0.10%
六个月至一年                                     5.00%                      5.00%
一至二年                                        20.00%                     20.00%
二至三年                                        50.00%                     50.00%
三年以上                                       100.00%                    100.00%

     ③单项金额虽不重大但单项计提坏账准备的应收款项

    单项计提坏账准备的理由为:存在客观证据表明本公司将无法按应收款项的
原有条款收回款项。

    坏账准备的计提方法为:根据应收款项的预计未来现金流量现值低于其账面
价值的差额进行计提。

     (3)金融负债

    金融负债于初始确认时分类为以公允价值计量且其变动计入当期损益的金
融负债和其他金融负债。本公司的金融负债主要为其他金融负债,包括应付款项、
借款及应付债券等。

    应付款项包括应付账款、应付票据及其他应付款等,以公允价值进行初始计
量,并采用实际利率法按摊余成本进行后续计量。

    借款及应付债券按其公允价值扣除交易费用后的金额进行初始计量,并采用
实际利率法按摊余成本进行后续计量。

    其他金融负债期限在一年以下(含一年)的,列示为流动负债;期限在一年
以上但自资产负债表日起一年内(含一年)到期的,列示为一年内到期的非流动
负债;其余列示为非流动负债。




                                        261
中芯国际集成电路制造有限公司                                 招股意向书

    当金融负债的现时义务全部或部分已经解除时,终止确认该金融负债或义务
已解除的部分。终止确认部分的账面价值与支付的对价之间的差额,计入当期损
益。

       ①金融工具的公允价值确定

    存在活跃市场的金融工具,以活跃市场中的报价确定其公允价值。不存在活
跃市场的金融工具,采用估值技术确定其公允价值。在估值时,本公司采用在当
前情况下适用并且有足够可利用数据和其他信息支持的估值技术,选择与市场参
与者在相关资产或负债的交易中所考虑的资产或负债特征相一致的输入值,并尽
可能优先使用相关可观察输入值。在相关可观察输入值无法取得或取得不切实可
行的情况下,使用不可观察输入值。

       ②套期会计

    套期会计方法,是指在相同会计期间将套期工具和被套期项目对当期损益的
影响相抵销的方法。本公司主要采用现金流量套期。

    现金流量套期是指对现金流量变动风险进行的套期。套期工具利得或损失中
属于有效套期的部分,本公司直接将其计入其他综合收益,并单列项目反映。对
于套期工具利得或损失中属于无效套期的部分,则计入当期损益。

    被套期项目主要为使本公司面临现金流量变动风险,且被指定为被套期对象
的项目。本公司的被套期项目有使本公司面临现金流量变动风险的浮动利率借款
和固定利率借款等。

    套期工具是本公司为进行套期而指定的、其现金流量变动预期可抵销被套期
项目的现金流量变动的衍生工具。

    如果被套期的预期交易随后确认为非金融资产或非金融负债,或非金融资产
或非金融负债的预期交易形成适用公允价值套期的确定承诺时,则原在其他综合
收益中确认的现金流量套期储备金额转出,计入该资产或负债的初始确认金额。
其余现金流量套期在被套期的预期现金流量影响损益的相同期间,如预期销售发
生时,将其他综合收益中确认的现金流量套期储备转出,计入当期损益。




                                   262
中芯国际集成电路制造有限公司                                   招股意向书

    本公司持续地对套期有效性进行评价,并保证该套期在套期关系被指定的会
计期间内高度有效。本公司采用比率分析法来评价现金流量套期的后续有效性。

    原直接计入股东权益中套期工具利得或损失,在被套期预期交易影响损益的
相同期间转出,计入当期损益。

    当套期工具已到期、被出售、合同终止或已行使时或套期不再满足套期会计
方法的条件时,原已计入其他综合收益中的套期工具的累计利得或损失暂不转出,
直至预期交易实际发生时才被重分类至当期利润表。如果预期交易预计不会发生,
则原已计入其他综合收益中的累计利得或损失应转出,计入当期利润表。


(九)存货

    1、分类

    存货包括原材料、在产品和产成品等,按成本与可变现净值孰低计量。

    2、发出存货的计价方法

    存货发出时的成本按加权平均法核算,产成品和在产品成本包括原材料、直
接人工以及在正常生产能力下按系统的方法分配的制造费用。

    3、存货可变现净值的确定依据及存货跌价准备的计提方法

    存货跌价准备按存货成本高于其可变现净值的差额计提。可变现净值按日常
活动中,以存货的估计售价减去至完工时估计将要发生的成本、估计的销售费用
以及相关税费后的金额确定。

    4、本公司的存货盘存制度采用永续盘存制。


(十)长期股权投资

    长期股权投资包括:本公司对合营企业和联营企业的长期股权投资。

    合营企业为本公司通过单独主体达成,能够与其他方实施共同控制,且基于
法律形式、合同条款及其他事实与情况仅对其净资产享有权利的合营安排。联营
企业为本公司能够对其财务和经营决策具有重大影响的被投资单位。

    对合营企业和联营企业投资采用权益法核算。

                                 263
中芯国际集成电路制造有限公司                                   招股意向书

    1、投资成本确定

    对于企业合并形成的长期股权投资:非同一控制下企业合并取得的长期股权
投资,按照合并成本作为长期股权投资的投资成本。

    对于以企业合并以外的其他方式取得的长期股权投资:支付现金取得的长期
股权投资,按照实际支付的购买价款作为初始投资成本;发行权益性证券取得的
长期股权投资,以发行权益性证券的公允价值作为初始投资成本。

    2、后续计量及损益确认方法

    采用权益法核算的长期股权投资,初始投资成本大于投资时应享有被投资单
位可辨认净资产公允价值份额的,以初始投资成本作为长期股权投资成本;初始
投资成本小于投资时应享有被投资单位可辨认净资产公允价值份额的,其差额计
入当期损益,并相应调增长期股权投资成本。

    采用权益法核算的长期股权投资,本公司按应享有或应分担的被投资单位的
净损益份额确认当期投资损益。确认被投资单位发生的净亏损,以长期股权投资
的账面价值以及其他实质上构成对被投资单位净投资的长期权益减记至零为限,
但本公司负有承担额外损失义务且符合预计负债确认条件的,继续确认预计将承
担的损失金额。被投资单位除净损益、其他综合收益和利润分配以外所有者权益
的其他变动,调整长期股权投资的账面价值并计入资本公积。被投资单位分派的
利润或现金股利于宣告分派时按照本公司应分得的部分,相应减少长期股权投资
的账面价值。本公司与被投资单位之间未实现的内部交易损益按照持股比例计算
归属于本公司的部分,予以抵销,在此基础上确认投资损益。本公司与被投资单
位发生的内部交易损失,其中属于资产减值损失的部分,相应的未实现损失不予
抵销。

    3、确定对被投资单位具有共同控制、重大影响的依据

    共同控制是指按照相关约定对某项安排所共有的控制,并且该安排的相关活
动必须经过本公司及分享控制权的其他参与方一致同意后才能决策。

    重大影响是指对被投资单位的财务和经营政策有参与决策的权力,但并不能
够控制或者与其他方一起共同控制这些政策的制定。


                                 264
中芯国际集成电路制造有限公司                                          招股意向书

       4、长期股权投资减值

    对合营企业及联营企业的长期股权投资,当其可收回金额低于其账面价值时,
账面价值减记至可收回金额。


(十一)固定资产

    1、固定资产确认及初始计量

    固定资产包括境外土地、房屋及建筑物、机器设备以及办公设备等。

    固定资产在与其有关的经济利益很可能流入本公司、且其成本能够可靠计量
时予以确认。购置或新建的固定资产按取得时的成本进行初始计量。

    与固定资产有关的后续支出,在与其有关的经济利益很可能流入本公司且其
成本能够可靠计量时,计入固定资产成本;对于被替换的部分,终止确认其账面
价值;所有其他后续支出于发生时计入当期损益。

    2、固定资产的折旧方法

    固定资产折旧采用年限平均法并按其入账价值减去预计净残值后在预计使
用寿命内计提。对计提了减值准备的固定资产,则在未来期间按扣除减值准备后
的账面价值及依据尚可使用年限确定折旧额。

    固定资产的预计使用寿命、净残值率及年折旧率列示如下:

       项目         预计使用寿命               预计净残值率        年折旧率
境外土地                             -                         -               -
房屋及建筑物                    25 年                         0%              4%
机器设备                       5-10 年                        0%        10%-20%
办公设备                        3-5 年                        0%        20%-33%
注:本公司拥有的境外土地为永久产权土地

    对固定资产的预计使用寿命、预计净残值和折旧方法于每年年度终了进行复
核并作适当调整。

    3、当固定资产的可收回金额低于其账面价值时,账面价值减记至可收回金
额。



                                         265
中芯国际集成电路制造有限公司                                  招股意向书

    4、固定资产的处置

    当固定资产被处置、或者预期通过使用或处置不能产生经济利益时,终止确
认该固定资产。固定资产出售、转让、报废或毁损的处置收入扣除其账面价值和
相关税费后的金额计入当期损益。


(十二)在建工程

    在建工程按实际发生的成本计量。实际成本包括建筑成本、安装成本、符合
资本化条件的借款费用以及其他为使在建工程达到预定可使用状态所发生的必
要支出。在建工程在达到预定可使用状态时,转入固定资产并自次月起开始计提
折旧。当在建工程的可收回金额低于其账面价值时,账面价值减记至可收回金额。


(十三)无形资产

    无形资产包括土地使用权、软件使用权、专有技术使用权等,以成本计量。

    1、土地使用权

    土地使用权按使用年限 50 年或 70 年平均摊销。外购土地及建筑物的价款难
以在土地使用权与建筑物之间合理分配的,全部作为固定资产。

    2、软件使用权

    软件使用权根据其受益期限在 3 年或 5 年内摊销。

    3、专有技术使用权

    专有技术使用权按预计的收益期限以及授权期限孰短在 3 年至 15 年内年平
均摊销。

    4、定期复核使用寿命和摊销方法

    对使用寿命有限的无形资产的预计使用寿命及摊销方法于每年年度终了进
行复核并作适当调整。

    5、研究与开发




                                    266
中芯国际集成电路制造有限公司                                  招股意向书

    内部研究开发项目支出根据其性质以及研发活动最终形成无形资产是否具
有较大不确定性,被分为研究阶段支出和开发阶段支出。

    研究阶段的支出,于发生时计入当期损益;开发阶段的支出,同时满足下列
条件的,予以资本化:

    (1)完成该无形资产以使其能够使用或出售在技术上具有可行性;

    (2)管理层具有完成该无形资产并使用或出售的意图;

    (3)能够证明该无形资产将如何产生经济利益;

    (4)有足够的技术、财务资源和其他资源支持,以完成该无形资产的开发,
并有能力使用或出售该无形资产;以及

    (5)归属于该无形资产开发阶段的支出能够可靠地计量。

    不满足上述条件的开发阶段的支出,于发生时计入当期损益。以前期间已计
入损益的开发支出不在以后期间重新确认为资产。已资本化的开发阶段的支出在
资产负债表上列示为开发支出,自该项目达到预定可使用状态之日起转为无形资
产。

    本公司研究开发费用均于发生时计入当期损益。

    6、无形资产减值

    当无形资产的可收回金额低于其账面价值时,账面价值减记至可收回金额。


(十四)职工薪酬

    职工薪酬是本公司为获得职工提供的服务或解除劳动关系而给予的各种形
式的报酬或补偿,包括短期薪酬、离职后福利、辞退福利和其他长期职工福利等。

       1、短期薪酬

    短期薪酬包括工资、奖金、津贴和补贴、职工福利费、医疗保险费、工伤保
险费、生育保险费、住房公积金、工会和教育经费等。本公司在职工提供服务的
会计期间,将实际发生的短期薪酬确认为负债,并计入当期损益或相关资产成本。



                                  267
中芯国际集成电路制造有限公司                                   招股意向书

       2、离职后福利

    本公司将离职后福利计划分类为设定提存计划和设定受益计划。设定提存计
划是本公司向独立的基金缴存固定费用后,不再承担进一步支付义务的离职后福
利计划;设定受益计划是除设定提存计划以外的离职后福利计划。于报告期内,
本公司的离职后福利主要是为员工缴纳的基本养老保险和失业保险以及意大利
雇员退职金计划。除意大利雇员退职金计划属于设定受益计划外,其他为员工缴
纳的基本养老保险和失业保险属于设定提存计划。

    (1)基本养老保险

    本公司职工参加了由当地劳动和社会保障部门组织实施的社会基本养老保
险。本公司以当地规定的社会基本养老保险缴纳基数和比例,按月向当地社会基
本养老保险经办机构缴纳养老保险费。职工退休后,当地劳动及社会保障部门有
责任向已退休员工支付社会基本养老金。本公司在职工提供服务的会计期间,将
根据上述社保规定计算应缴纳的金额确认为负债,并计入当期损益或相关资产成
本。

    (2)意大利雇员退职金计划

    LFoundry 的雇员有权参加设定受益计划,本公司于资产负债表日将该设定
受益计划中确定的设定受益义务的现值列示为负债,设定受益义务由独立精算师
使用预期累计福利单位法计算得出。确定设定受益义务的现值以与支付该受益的
币种相同且与该设定受益义务到期年限类似的高质量公司债券的市场收益率对
预计未来现金流折现得出。本公司于 2019 年 7 月出售子公司 SMIC HK(持有
LFoundry 70%权益),导致设定受益计划转出。

       3、辞退福利

    本公司在职工劳动合同到期之前解除与职工的劳动关系、或者为鼓励职工自
愿接受裁减而提出给予补偿,在本公司不能单方面撤回解除劳动关系计划或裁减
建议时和确认与涉及支付辞退福利的重组相关的成本费用时两者孰早日,确认因
解除与职工的劳动关系给予补偿而产生的负债,同时计入当期损益。

    预期在资产负债表日起一年内需支付的辞退福利,列示为流动负债。


                                 268
中芯国际集成电路制造有限公司                                   招股意向书


(十五)预计负债

    因产品质量保证等形成的现时义务,当履行该义务很可能导致经济利益的流
出,且其金额能够可靠计量时,确认为预计负债。

    预计负债按照履行相关现时义务所需支出的最佳估计数进行初始计量,并综
合考虑与或有事项有关的风险、不确定性和货币时间价值等因素。货币时间价值
影响重大的,通过对相关未来现金流出进行折现后确定最佳估计数;因随着时间
推移所进行的折现还原而导致的预计负债账面价值的增加金额,确认为利息费用。

    于资产负债表日,对预计负债的账面价值进行复核并作适当调整,以反映当
前的最佳估计数。

    预期在资产负债表日起一年内需支付的预计负债,列示为流动负债。


(十六)政府补助

    政府补助为本公司从政府无偿取得的货币性资产或非货币性资产,包括财政
补贴等。

    政府补助在本公司能够满足其所附的条件并且能够收到时,予以确认。政府
补助为货币性资产的,按照收到或应收的金额计量。政府补助为非货币性资产的,
按照公允价值计量;公允价值不能可靠取得的,按照名义金额计量。

    与资产相关的政府补助,是指本公司取得的、用于购建或以其他方式形成长
期资产的政府补助。与收益相关的政府补助,是指除与资产相关的政府补助之外
的政府补助。

    本公司收到的政策性优惠利率贷款,以实际收到的借款金额作为借款的入账
价值,按照借款本金和该政策性优惠利率计算相关借款费用。本公司直接收取的
财政贴息,冲减相关借款费用。

    本公司将与资产相关的政府补助确认为递延收益,并在相关资产的使用寿命
内按照合理、系统的方法分期计入当期损益。与收益相关的政府补助,若用于补
偿以后期间的相关成本费用或损失的,确认为递延收益,并在确认相关成本费用




                                  269
中芯国际集成电路制造有限公司                                  招股意向书

或损失的期间,直接计入当期损益;若用于补偿已经发生的相关成本费用或损失
的,直接计入当期损益。

    本公司对于同类政府补助采用相同的列报方式。

    与日常活动相关的政府补助纳入营业利润,与日常活动无关的政府补助计入
营业外收支。


(十七)股份支付

    本公司实行股权激励计划(包括购股权计划和以股票支薪奖励计划),本公
司员工被授予购股权或者受限股份单位,即可按特定行使价购买本公司的股份。

    本公司的购股权计划为换取职工提供的服务的权益结算的股份支付,以授予
职工的权益工具在授予日的公允价值计量。在完成等待期内的服务或达到规定业
绩条件才可行权,在等待期内以对可行权权益工具数量的最佳估计为基础,按照
权益工具授予日的公允价值,将当期取得的服务计入相关成本或费用,相应增加
资本公积。后续信息表明可行权权益工具的数量与以前估计不同的,将进行调整,
并在可行权日调整至实际可行权的权益工具数量。在行权日,根据实际行权的权
益工具数量,计算确定应转入股本的金额,将其转入股本。

    本公司采用期权定价模型确定购股权的公允价值,根据授予日普通股股价确
定受限股份单位的公允价值。


(十八)递延所得税资产和递延所得税负债

    递延所得税资产和递延所得税负债根据资产和负债的计税基础与其账面价
值的差额(暂时性差异)计算确认。对于按照税法规定能够于以后年度抵减应纳
税所得额的可抵扣亏损,确认相应的递延所得税资产。对于商誉的初始确认产生
的暂时性差异,不确认相应的递延所得税负债。对于既不影响会计利润也不影响
应纳税所得额(或可抵扣亏损)的非企业合并的交易中产生的资产或负债的初始
确认形成的暂时性差异,不确认相应的递延所得税资产和递延所得税负债。于资
产负债表日,递延所得税资产和递延所得税负债,按照预期收回该资产或清偿该
负债期间的适用税率计量。



                                  270
中芯国际集成电路制造有限公司                                   招股意向书

    递延所得税资产的确认以很可能取得用来抵扣可抵扣暂时性差异、可抵扣亏
损和税款抵减的应纳税所得额为限。

    对与子公司、联营企业及合营企业投资相关的应纳税暂时性差异,确认递延
所得税负债,除非本公司能够控制该暂时性差异转回的时间且该暂时性差异在可
预见的未来很可能不会转回。对与子公司、联营企业及合营企业投资相关的可抵
扣暂时性差异,当该暂时性差异在可预见的未来很可能转回且未来很可能获得用
来抵扣可抵扣暂时性差异的应纳税所得额时,确认递延所得税资产。

    同时满足下列条件的递延所得税资产和递延所得税负债以抵销后的净额列
示:

    1、递延所得税资产和递延所得税负债与同一税收征管部门对本公司内同一
纳税主体征收的所得税相关;

    2、本公司内该纳税主体拥有以净额结算当期所得税资产及当期所得税负债
的法定权利。


(十九)租赁

       1、自 2019 年 1 月 1 日开始执行的会计政策

    财政部于 2018 年颁布了修订后的《企业会计准则第 21 号——租赁》(以下
简称“新租赁准则”),本公司已于 2019 年 1 月 1 日采用新租赁准则编制 2019
年度的财务报表。根据新租赁准则的相关规定,由于首次执行该准则,对本公司
2019 年年初留存收益以及财务报表其他相关项目未产生金额影响,2018 年度的
比较财务报表未重列。

    租赁,是指在一定期间内,出租人将资产的使用权让与承租人以获取对价的
合同。

       (1)本公司作为承租人

    本公司于租赁期开始日确认使用权资产,并按尚未支付的租赁付款额的现值
确认租赁负债。租赁付款额包括固定付款额,以及在合理确定将行使购买选择权
或终止租赁选择权的情况下需支付的款项等。按销售额的一定比例确定的可变租


                                      271
中芯国际集成电路制造有限公司                                  招股意向书

金不纳入租赁付款额,在实际发生时计入当期损益。本公司将自资产负债表日起
一年内(含一年)支付的租赁负债,列示为一年内到期的非流动负债。

    本公司的使用权资产为机器设备。使用权资产按照成本进行初始计量,该成
本包括租赁负债的初始计量金额、租赁期开始日或之前已支付的租赁付款额、初
始直接费用等,并扣除已收到的租赁激励。本公司能够合理确定租赁期届满时取
得租赁资产所有权的,在租赁资产剩余使用寿命内计提折旧;若无法合理确定租
赁期届满时是否能够取得租赁资产所有权,则在租赁期与租赁资产剩余使用寿命
两者孰短的期间内计提折旧。当可收回金额低于使用权资产的账面价值时,本公
司将其账面价值减记至可收回金额。

    对于租赁期不超过 12 个月的短期租赁和单项资产全新时价值较低的低价值
资产租赁,本公司选择不确认使用权资产和租赁负债,将相关租金支出在租赁期
内各个期间按照直线法计入当期损益或相关资产成本。


    2、2018 年 12 月 31 日及以前执行的会计政策

    本公司于 2018 年度、2017 年度仍按照财政部于 2006 年颁布的《企业会计
准则第 21 号——租赁》编制财务报表。主要会计政策及会计估计如下:

    实质上转移了与资产所有权有关的全部风险和报酬的租赁为融资租赁。其他
的租赁为经营租赁。经营租赁的租金收入在租赁期内按照直线法确认。

    (1)经营租赁

    经营租赁的租金支出在租赁期内按照直线法计入相关资产成本或当期损益。

    经营租赁的租金收入在租赁期内按照直线法确认。

    (2)融资租赁

    实质上转移了与资产所有权有关的全部风险和报酬的租赁为融资租赁。以租
赁资产的公允价值与最低租赁付款额的现值两者中较低者作为租入资产的入账
价值,租入资产的入账价值与最低租赁付款额之间的差额作为未确认融资费用,
在租赁期内按实际利率法摊销。最低租赁付款额扣除未确认融资费用后的余额作
为长期应付款列示。


                                   272
中芯国际集成电路制造有限公司                                  招股意向书

    融资租入的固定资产采用与自有固定资产相一致的折旧政策。能够合理确定
租赁期届满时将取得租入资产所有权的,租入固定资产在其预计使用寿命内计提
折旧;否则,租入固定资产在租赁期与该资产预计使用寿命两者中较短的期间内
计提折旧。


(二十)持有待售

    同时满足下列条件的非流动资产或处置组划分为持有待售:(一)根据类似
交易中出售此类资产或处置组的惯例,在当前状况下即可立即出售;(二)本公
司已与其他方签订具有法律约束力的出售协议且已取得相关批准,预计出售将在
一年内完成。

    符合持有待售条件的非流动资产(不包括金融资产、以公允价值计量的投资
性房地产以及递延所得税资产),以账面价值与公允价值减去出售费用后的净额
孰低计量,公允价值减去出售费用后的净额低于原账面价值的金额,确认为资产
减值损失。

    被划分为持有待售的非流动资产和处置组中的资产和负债,分类为流动资产
和流动负债,并在资产负债表中单独列示。


(二十一)商誉

    商誉按成本进行初始计量,即所支付的对价超过自被收购公司取得的各项可
辨认资产及负债的公允价值之和的差额部分。如果所支付的对价小于自被收购公
司取得的各项可辨认资产及负债的公允价值之和的差额,差额经重新评估后计入
当期损益。

    商誉于初始计量后,以成本抵减资产减值损失进行后续计量。无论是否存在
减值迹象,企业合并所形成的商誉,至少应当在每年年度终了进行减值测试。本
公司于 12 月 31 日进行年度商誉减值测试。由于商誉难以独立产生现金流量,本
公司结合与其相关的资产组或者资产组组合进行减值测试。为了进行资产减值测
试,因企业合并形成的商誉的账面价值,应当自购买日起按照合理的方法分摊至
相关的资产组;难以分摊至相关的资产组的,应当将其分摊至相关的资产组组合。




                                  273
中芯国际集成电路制造有限公司                                 招股意向书

    比较相关资产组或者资产组组合的账面价值与其可收回金额,如相关资产组
或者资产组组合的可收回金额低于其账面价值的,应当就其差额确认减值损失。
减值损失一经确认,在以后期间不得转回。


(二十二)可转换债券

    本公司发行的可转换债券在初始确认时将其包含的负债成分和权益成分进
行分拆,将负债成分确认为应付债券,将权益成分确认为资本公积。在进行分拆
时,先对负债成分的未来现金流量进行折现确定负债的初始确认金额,再按发行
价格总额扣除负债成本初始确认金额后的金额确定权益成分的初始确认金额。

    发行可转换债券发生的交易费用,在负债成分和权益成分之间按照各自的相
对公允价值进行分摊。

    于发行日,负债成分的公允价值按同类型不可换股工具的市场利率进行折算。
按摊余成本法以实际利率法入账为应付债券,直至转换当日或该债券到期为止。

    划分为权益成分的部分将一直保留在股东权益内,直至行使换股权为止。在
行使换股权时,应终止确认负债成分,并将其确认为权益。如果可转换债券于到
期日仍未被行使,原确认的权益成分不做调整。行使换股权或可转换债券到期,
不产生任何损益。


(二十三)永续次级可换股证券

    如果本公司所发行的永续次级可换股证券合约条款中没有包括交付现金或
其他金融资产给其他单位的合同义务,也没有包括在潜在不利条件下与其他单位
交换金融资产或金融负债的合同义务,则该工具作为权益性工具核算。


(二十四)重要会计估计和判断

    本公司根据历史经验和其他因素,包括对未来事项的合理预期,对所采用的
重要会计估计和关键判断进行持续的评价。

    下列重要会计估计及关键假设存在会导致下一会计年度资产和负债的账面
价值出现重大调整的重要风险:




                                 274
中芯国际集成电路制造有限公司                                  招股意向书


    1、存货跌价准备

    报告期各期末,存货在资产负债表日的余额按成本与可变现净值孰低计量。
可变现净值通过估计售价减去估计的销售费用以及相关税费后的金额确定。公司
基于最近售价及当前市场状况确定存货的可回收净值。于资产负债表日,公司分
产品进行减值复核,并对过时或闲置的存货计提减值准备,使其成本与可回收价
值一致,具体情况如下:

    (1)原材料

    各期末,公司根据生产计划或技术进步情况确认的未来使用的物料清单判断
原材料是否陈旧或过时,同时考虑原材料用途、库龄、损毁、退货等因素的影响,
计提存货跌价准备。

    (2)在产品及产成品

    首先,各期末,公司考虑在产品及产成品的库龄,对长库龄的在产品及产成
品计提存货跌价准备。

    第二,各期末,公司对长库龄在产品或产成品存货跌价准备评估完成后,将
存货成本扣除已计提长库龄跌价准备后的净值与可变现净值进行比较。公司根据
在产品及产成品合同售价或未来预计售价减去至完工时估计将要发生的成本、估
计的销售费用和相关税费后的金额确定其可变现净值,当在产品或产成品成本低
于可变现净值时,在产品或产成品不计提跌价准备;当在产品或产成品成本高于
可变现净值时,在产品或产成品按可变现净值计量,差额计提存货跌价准备。

    第三,各期末,公司根据各类产品的特定风险计提特殊跌价准备。产品控制
部门会实时根据从销售或技术部门了解的信息定期举行复核以识别出存货中未
来销售可能性很低的存货类别,将其通知到财务部门。财务部门根据得到的信息
判断产品是否处于高风险状态,并针对高风险状态的存货计提特殊跌价准备。




                                  275
中芯国际集成电路制造有限公司                                 招股意向书


    2、长期资产减值

    当有情况显示资产或资产组的账面价值不能收回时,本公司评估长期资产的
减值。本公司考虑评估资产减值的因素包括但不限于业务或生产业绩显著低于预
期、明显的负面行业或经济趋势,以及资产使用的重大改变或重大改变计划。

    资产减值准备按单项资产或资产组计算并确认。减值测试结果表明资产的可
收回金额低于其账面价值的,按其差额计提减值准备并计入减值损失。可收回金
额为资产的公允价值减去处置费用后的净额与资产预计未来现金流量的现值两
者之间的较高者。资产减值准备按单项资产为基础计算并确认,如果难以对单项
资产的可收回金额进行估计的,以该资产所属的资产组确定资产组的可收回金额。
资产组是能够独立产生现金流入的最小资产组合。

    本公司会根据资产使用模式及产能对资产或资产组的独立现金流量作出判
断,预计未来现金流量的现值基于折现率、预计未来现金流入以及用作推算的增
长率和销售毛利为基础进行估计。

    为维持半导体行业内的技术竞争力,本公司与第三方订立技术转移及技术特
许权协议,以提升本公司生产技术。有关技术特许权列示为无形资产,并按资产
的预计可使用年限按直线法摊销。本公司定期复核该无形资产的剩余预计可使用
年限。当有情况显示该无形资产的账面价值可能不能收回时,本公司会评估该无
形资产的减值。当无形资产的账面价值超过其可收回金额时,本公司将该无形资
产账面价值减记至可收回金额。


    3、股份支付

    本公司的股份期权计划系采用布莱克-斯科尔斯期权定价模型估计购股权于
授予日的公允价值。期权定价模型要求输入高度主观假设数据,包括期权的预计
年限和预计股价波动。授出期权的预计年限指授出期权预计发行在外的时间。本
公司使用历史波动率来预计股价波动。该等假设本身具有不确定性。不同假设及
判断会影响授出购股权的公允价值,相应的以权益结算的股份支付的估值结果及
金额随之改变。




                                 276
中芯国际集成电路制造有限公司                                 招股意向书


    4、所得税和递延所得税

    复杂税务法规的诠释、税法的变动以及未来应纳税收入的金额和产生时间均
存在不确定性。鉴于广泛的国际业务关系以及现有协议的复杂程度,本公司根据
其经营所在各国税务机关的核查结果作出合理估计。该估计金额基于多项因素,
例如以前年度税务审计经验,应税实体及负责税务机关对税务法规的诠释等。

    如果未来有足够的应纳税所得额可供抵减税务亏损,则就未使用的税务亏损
确认为递延所得税资产。在确认递延所得税资产时,本公司管理层根据未来应税
利润可能出现的时间及金额连同税务规划策略作出重大判断。

    递延所得税资产的可实现性主要取决于未来是否有足够的应纳税所得额。如
果应纳税所得额少于预期,就可能产生大额递延所得税资产的转回,并于转回期
间确认当期损益。


    5、金融工具公允价值计量

    本公司若干资产及负债以公允价值计量列示于财务报表中。在估计资产或负
债的公允价值时,本公司尽可能使用可观察的市场数据。在无法获取第一层级数
据的情况下,本公司委聘第三方估值师进行估值。本公司使用估值技术(包括并
非基于可观察市场数据的估计)来估计若干金融工具的公允价值。


    6、合营企业和联营企业投资组合公允价值计量

    作为有限合伙人,本公司已投资多项投资基金。根据管理层的评估,本公司
将此类投资基金计入合营企业或联营企业,并以权益法进行计量。投资基金对投
资组合以公允价值进行计量。这些投资基金持有多项投资组合。存在活跃市场的
金融工具,本公司以活跃市场中的报价确定其公允价值。不存在活跃市场的金融
工具,本公司采用估值技术确定其公允价值。在估值时,本公司采用在当前情况
下适用并且有足够可利用数据和其他信息支持的估值技术,选择与市场参与者在
相关资产或负债的交易中所考虑的资产或负债特征相一致的输入值,并尽可能优
先使用相关可观察输入值。在相关可观察输入值无法取得或取得不切实可行的情
况下,使用不可观察输入值。




                                 277
中芯国际集成电路制造有限公司                                   招股意向书


    7、预期信用损失的计量

    本公司通过违约风险敞口和预期信用损失率计算预期信用损失,并基于违约
概率和违约损失率确定预期信用损失率。在确定预期信用损失率时,本公司使用
内部历史信用损失经验等数据,并结合当前状况和前瞻性信息对历史数据进行调
整。在考虑前瞻性信息时,本公司使用的指标包括经济下滑的风险、外部市场环
境、技术环境和客户情况的变化等。本公司定期监控并复核与预期信用损失计算
相关的假设。上述估计技术和关键假设于 2019 年度及 2018 年度未发生重大变化。


    8、机器设备的售后租回交易

    于 2017 年度及 2018 年度,本公司对部分机器设备进行售后租回,该售后租
回附购回选择权。本公司需对售后回租交易是否为租赁及是否为经营租赁作出判
断。在判断售后回租交易是否为租赁时,本公司对可行使购回选择权时机器设备
的预期公允价值进行估计,将其与购回选择权的行权价格进行比较以判断购回选
择权的行使是否几乎确定。本公司亦对促使行使购回选择权的其他重大因素进行
识别。在判断该租赁是否为经营租赁时,本公司主要考虑机器设备的所有权于租
赁期结束时是否转移至本公司、租赁期是否涵盖机器设备大部分预期使用寿命、
机器设备的最低租赁付款额现值与租赁开始日的机器设备的公允价值是否接近
以及该机器设备是否属于只有本公司才能在无需作出重大改造的基础上使用的
专门设备。


    9、质保及退换货的具体会计处理等

    (1)附有销售退回条款的销售

    根据合同条款,公司的部分销售附有销售退回条款。当客户选择退货时,客
户有权要求公司返还其已经支付的全部或部分对价。实际发生退货时,公司对已
计提的收入及已结转的成本进行冲回。实际发生换货时,公司视同新的销售交易
进行会计处理。

    2018 年度及 2019 年度销售退回会计处理如下:

    公司在客户取得相关商品控制权时,按照因向客户转让商品而预期有权收取
的对价金额(即,不包含预期因销售退回将退还的金额)确认收入,按照预期因

                                   278
中芯国际集成电路制造有限公司                                  招股意向书

销售退回将退还的金额确认负债;同时,按照预期将退回商品转让时的账面价值,
扣除收回该商品预计发生的成本(包括退回商品的价值减损)后的余额,确认一
项资产,按照所转让商品转让时的账面价值,扣除上述资产成本的净额结转成本。
每一资产负债表日,公司重新估计未来销售退回情况,并对上述资产和负债进行
重新计量。如有变化,应当作为会计估计变更进行会计处理。

    2017 年度销售退回会计处理如下:

    对于附有销售退回条款的销售业务,公司根据以往经验能够合理估计退货可
能性且确认与退货相关负债的,在发出商品时确认收入;公司不能合理估计退货
可能性的,在售出商品退货期满时确认收入。

    (2)附有质量保证条款的销售

    公司的部分商品销售附有质量保证条款。

    公司对其所提供的质量保证的性质进行分析,对于客户能够选择单独购买质
量保证的,表明该质量保证构成单项履约义务;对于客户虽然不能选择单独购买
质量保证,但如果该质量保证在向客户保证所销售的商品符合既定标准之外提供
了一项单独服务的,也作为单项履约义务。作为单项履约义务的质量保证按收入
准则规定进行会计处理,并将部分交易价格分摊至该项履约义务。对于不能作为
单项履约义务的质量保证,公司按照《企业会计准则第 13 号——或有事项》的
规定进行会计处理,即基于历史上确认销售之后发生的质保费用情况预估质保成
本,相应确认预计负债及营业成本。

    于报告期内,公司所提供的质量保证均不构成单项履约义务。

    发行人附有质量保证条款的销售情形仅包括 LFoundry。2019 年 7 月,发行
人将 SMIC HK 的全部股权及其持有的 LFoundry70%股权对外转让,此后不存在
附有质量保证条款的销售。




                                   279
中芯国际集成电路制造有限公司                                       招股意向书


(二十五)重要会计政策变更

    1、政府补助

    财政部于 2017 年修订《企业会计准则第 16 号——政府补助》,本公司已采
用上述修订后准则编制 2017 年度、2018 年度及 2019 年度财务报表,并将 2017
年度、2018 年度及 2019 年度的与日常经营活动相关的政府补助列报为其他收益。


    2、新收入准则

    本公司于 2018 年 1 月 1 日执行新收入准则,除将预收账款重分类为合同负
债外,收入确认方式及时点未发生重大变化,无需调整 2018 年 1 月 1 日的留存
收益。2017 年度的比较财务报表未重列。

                                           受影响的     影响金额(单位:元)
         会计政策变更的内容和原因
                                           报表项目        2018 年 1 月 1 日
因执行新收入准则,本公司将与销售产品相关   合同负债             371,214,527.42
的预收款项重分类至合同负债。               预收款项             -371,214,527.42

    与原收入准则相比,执行新收入准则对 2018 年度财务报表相关项目的影响
如下:

                                               影响金额(单位:元)
         受影响的资产负债表项目
                                                 2018 年 12 月 31 日
                合同负债                                        426,433,750.20
                预收款项                                        -426,433,750.20

    3、新金融工具准则

    财政部于 2017 年颁布了修订后的《企业会计准则第 22 号——金融工具确认
和计量》《企业会计准则第 23 号——金融资产转移》《企业会计准则第 24 号——
套期保值》及《企业会计准则第 37 号——金融工具列报》等(以下合称“新金
融工具准则”),本公司已采用上述准则编制截至 2019 年 12 月 31 日及 2018 年
12 月 31 日的财务报表,对本公司及报表的影响列示如下:

    根据执行新金融工具准则的相关规定,本公司对于首次执行该准则的累积影
响数调整 2018 年年初留存收益以及财务报表其他相关项目金额,2017 年度的比
较财务报表未重列。

                                     280
 中芯国际集成电路制造有限公司                                                 招股意向书

      本公司于 2017 年度仍按照财政部于 2006 年颁布的《企业会计准则第 22 号
 ——金融工具确认和计量》《企业会计准则第 23 号——金融资产转移》《企业会
 计准则第 24 号——套期保值》及《企业会计准则第 37 号——金融工具列报》编
 制。

      (1)于 2018 年 1 月 1 日,金融资产按照原金融工具准则和新金融工具准则
 的规定进行分类和计量的结果对比表:

               原金融工具准则                                新金融工具准则
                                账面价值                                    账面价值
列报项目        计量类别                          列报项目   计量类别
                              (单位:元)                                (单位:元)
货币资金      摊余成本       17,916,390,897.93    货币资金   摊余成本    17,916,390,897.93
以公允价值                                                   以公允价
              以公允价值
计量且其变                                                   值计量且
              计量且其变                          交易性金
动计入当期                      770,565,880.03               其变动计       770,565,880.03
              动计入当期                          融资产
损益的金融                                                   入当期损
              损益
资产                                                         益
应收票据      摊余成本           49,036,460.26    应收票据   摊余成本         49,036,460.26
应收账款      摊余成本        2,615,569,268.07    应收账款   摊余成本     2,615,569,268.07
                                                  其他应收
其他应收款    摊余成本          457,920,224.14               摊余成本       457,920,224.14
                                                  款
              以公允价值                                     以公允价
              计量且其变                          其他非流   值计量且
可供出售金
              动计入其他        162,333,448.76    动金融资   其变动计       162,333,448.76
融资产
              综合收益(权                        产         入当期损
              益工具)                                       益
                                                             以公允价
              以公允价值
                                                             值计量且
衍生金融资    计量且其变                          衍生金融
                                 44,757,552.69               其变动计         44,757,552.69
产            动计入其他                          资产
                                                             入其他综
              综合收益
                                                             合收益

      于 2017 年 12 月 31 日及 2018 年 1 月 1 日,本公司均没有指定为以公允价值
 计量且其变动计入当期损益的金融资产。

      (2)于 2018 年 1 月 1 日,本公司根据新金融工具准则下的计量类别,将原
 金融资产账面价值调整为新金融工具准则下的账面价值的调节表:

                                                                          账面价值
             新金融工具准则下以摊余成本计量的金融资产
                                                                        (单位:元)
 货币资金
 2017 年 12 月 31 日                                                    17,916,390,897.93
 2018 年 1 月 1 日                                                      17,916,390,897.93


                                            281
中芯国际集成电路制造有限公司                                                     招股意向书

                                                                              账面价值
           新金融工具准则下以摊余成本计量的金融资产
                                                                            (单位:元)


应收款项
2017 年 12 月 31 日                                                          3,122,525,952.47
2018 年 1 月 1 日                                                            3,122,525,952.47


以摊余成本计量的金融资产合计(新金融工具准则)                              21,038,916,850.40

     于 2018 年 1 月 1 日,本公司根据新金融工具准则下的计量类别,将原金融
资产账面价值调整为新金融工具准则下的账面价值的调节表

                                                                                账面价值
 新金融工具准则下以公允价值计量且其变动计入当期损益的金融资产
                                                                              (单位:元)
交易性金融资产(含其他非流动金融资产)
2017 年 12 月 31 日                                                           770,565,880.03
加:自可供出售金融资产转入(原金融工具准则)                                  162,333,448.76
2018 年 1 月 1 日                                                             932,899,328.79


衍生金融资产
2017 年 12 月 31 日                                                            44,757,552.69
2018 年 1 月 1 日                                                              44,757,552.69


以公允价值计量且其变动计入当期损益的金融资产合计(新金融工具准
                                                                              977,656,881.48
则)

     (3)于 2018 年 1 月 1 日,本公司将原金融资产减值准备调整为按照新金融
工具准则规定的损失准备的调节表:

                      按原金融工具准则计                                 按照新金融工具准则
     计量类别             提的损失准备           重分类       重新计量     计提的损失准备
                          (单位:元)                                       (单位:元)
应收账款减值准备             8,725,161.50                 -          -           8,725,161.50
其他应收账款减值
                             1,775,185.18                 -          -           1,775,185.18
准备
                            10,500,346.68                 -          -         10,500,346.68

     因执行上述修订的准则,本公司相应调整 2018 年 1 月 1 日合并财务报表中
归 属 于 母 公 司 股 东 权 益 的 影 响 金 额 为 0.00 元 , 其 中 未 分 配 利 润 调 增
107,680,759.08 元、其他综合收益调减 107,680,759.08 元。




                                           282
中芯国际集成电路制造有限公司                                            招股意向书


    4、新租赁准则

    本公司于 2019 年 1 月 1 日首次执行新租赁准则,根据相关规定,本公司对
于首次执行日前已存在的合同选择不再重新评估。本公司对于该准则的累积影响
数调整 2019 年年初留存收益以及财务报表相关项目金额,2017 年度及 2018 年
度的比较财务报表未重列。

    于 2019 年 1 月 1 日,本公司在计量租赁负债时,对于具有相似特征的租赁
合同采用同一折现率,所采用的增量借款利率的加权平均值为 4.30%。

                                                   受影响的报表    2019 年 1 月 1 日
           会计政策变更的内容和原因
                                                       项目           影响金额
                                                    使用权资产        31,827,460.22
                                                     固定资产        -31,827,460.22
                                                    长期应付款        20,232,330.53
因执行新租赁准则,本公司将原计入固定资产的融资       租赁负债        -20,232,330.53
租入固定资产重分类至使用权资产项目,并将原计入     一年内到期的
长期应付款的应付融资租赁款重分类至租赁负债。       非流动负债-长      10,586,477.07
                                                     期应付款
                                                   一年内到期的
                                                   非流动负债-租     -10,586,477.07
                                                       赁负债
对于首次执行新租赁准则前已存在的经营租赁合同,
本公司按照剩余租赁期区分不同的衔接方法:           使用权资产      1,919,507,716.10
(1)剩余租赁期长于 1 年的,本公司根据 2019 年 1
月 1 日的剩余租赁付款额和增量借款利率确认租赁负
债,以与租赁负债相等的金额确定使用权资产的账面     租赁负债        -1,354,480,279.47
价值,并根据预付租金等进行必要调整。
(2)剩余租赁期短于 1 年的,本公司采用简化方法, 一年内到期的
不确认使用权资产和租赁负债,对财务报表无显著影 非流动负债-租        -565,027,436.63
响。                                                 赁负债
对于首次执行新租赁准则前已存在的低价值资产的经
营租赁合同,本公司采用简化方法,不确认使用权资
产和租赁负债,对财务报表无显著影响。

    (2)于 2019 年 1 月 1 日,本公司将原租赁准则下披露的尚未支付的最低经
营租赁付款额调整为新租赁准则下确认的租赁负债的调节表如下:

于 2018 年 12 月 31 日披露未来最低经营租赁付款额                   2,419,550,177.18
按增量借款利率折现计算的上述最低经营租赁付款额的现值               1,919,507,716.10
加:2018 年 12 月 31 日应付融资租赁款                                 30,818,807.60
于 2019 年 1 月 1 日确认的租赁负债(含一年内到期的非流动负债)     1,950,326,523.70



                                        283
中芯国际集成电路制造有限公司                                                        招股意向书


    5、一般企业报表格式的修改

    2019 年颁布了《关于修订印发 2019 年度一般企业财务报表格式的通知》(财
会[2019]6 号),本公司已采用上述准则和通知编制 2017 年度、2018 年度及 2019
年度财务报表。

                                                     影响金额(单位:元)
  会计政策变更的          受影响的报
    内容和原因            表项目名称     2018 年 12 月 31 日          2017 年 12 月 31 日
                                             /2018 年度                   /2017 年度
本公司将应收票据及应      应收账款             2,966,804,352.46            2,615,569,268.07
收账款项目分拆为应收      应收票据                66,248,627.10                    49,036,460.26
账款项目和应收票据项      应收票据及应
目。                                           -3,033,052,979.56          -2,664,605,728.33
                          收账款
本公司将一年内到期的      一年内到期的
                                               1,679,477,833.66            1,262,132,183.25
递延收益合并计入递延      非流动负债
收益项目。                递延收益             -1,679,477,833.66          -1,262,132,183.25
本公司将原计入管理费      研发费用             4,470,900,053.44            3,576,077,837.21
用项目的研发费用单独
列示为研发费用项目。      管理费用             -4,470,900,053.44          -3,576,077,837.21


七、非经常性损益

    普华永道对公司报告期内的非经常性损益明细表进行了鉴证,并出具了普华
永道中天特审字(2020)第 2455 号《非经常性损益明细表专项报告》。报告期内,
本公司的非经常性损益明细表如下:

                                                                                   单位:万元
                   项目                         2019 年度          2018 年度         2017 年度
非流动性资产处置损益                                2,760.87        21,433.77          11,219.19
计入当期损益的政府补助                            203,926.91       110,664.73        102,370.18
交易性金融资产收益                                  1,670.09         4,663.06            755.77
除同公司正常经营业务相关的有效套期保值
                                                     -498.41         1,022.38           3,924.81
业务外衍生金融工具的公允价值变动
其他非流动资产金融资产公允价值变动                 19,949.35         1,427.70                    -
企业按比例享有的联营企业及合营企业投资
收益中归属于联营企业及合营企业所持有金             37,751.63        13,580.27          -1,150.62
融资产公允价值变动的金额
处置长期股权投资产生的投资收益                        249.98                   -        4,428.46
丧失控制权而取消合并而确认的投资收益               56,017.69         2,262.64                    -
除上述各项之外的其他营业外收入和支出                 -518.58           127.72            127.61
非经常性损益小计                                  321,309.52       155,182.28        121,675.41
减:所得税影响金额                                 52,571.03         9,843.35         16,495.25


                                         284
中芯国际集成电路制造有限公司                                             招股意向书


                 项目                        2019 年度     2018 年度     2017 年度
扣除所得税影响后的非经常性损益合计            268,738.50   145,338.92     105,180.16
其中:归属于母公司股东的非经常性损益          231,585.96   136,413.18      97,171.47
归属于少数股东的非经常性损益                   37,152.54      8,925.74      8,008.69


八、主要税种、税率及税收优惠情况

(一)主要税种及税率
     税种                      税率                           税基
企业所得税         25%、24%、15%及 12.5%       应纳税所得额
                                           应纳税增值额(应纳税额按应纳税销售
                                           额乘以适用税率扣除当期允许抵扣的
                 17%、16%、13%、11%、10%、
增值税                                     进项税后的余额计算);或应税销售额
                    9%、6%、5%及 3%
                                           (应纳税额按当期应纳税销售额乘以
                                           征收率计算)
城市维护建设税            1%及 7%              缴纳的增值税税额

    1、企业所得税

    本公司于开曼群岛注册成立,于报告期间在当地无须纳税。

    根据《中华人民共和国企业所得税法》(中华人民共和国主席令第 63 号,自
2008 年 1 月 1 日起生效),于 2008 年或其后外资企业分派其利润于直接控股公
司(非中国居民企业)须按 10%税率代扣代缴预提所得税。如果中国内地与外商
控股公司所在地区有优惠税率协议,则可采用较低的代扣代缴所得税率。例如,
根据中国与香港特别行政区协议的税务备忘录,位于香港兼属香港税务居民的控
股公司(应具备商业实质及向主管税务机关提出正式的协议利益申请)可按 5%
税率代扣代缴预扣税。

    《中华人民共和国企业所得税法》规定外商投资企业和国内企业的企业所得
税税率为 25%,除非适用特殊的优惠税率。此外,根据意大利企业所得税法律,
LFoundry 所得税税率为 24%。本公司于 2019 年 7 月出售子公司 SMIC HK(持
有 LFoundry 70%权益)。

    2、增值税

    一般计税方法下,应纳增值税额为销项税额扣减可抵扣进项税后的余额,销
项税额根据相关税法规定计算的销售额的 17%、16%、13%、11%、10%、9%和

                                       285
中芯国际集成电路制造有限公司                                      招股意向书

6%分别计算。简易计税方法下,应纳增值税额根据特定应税行为销售额的 5%、
3%分别计算。

    本公司自营产品,增值税实行免抵退的税收政策。根据财税[2004]第 200 号
文件,本公司代工晶圆的出口退税率为 17%。根据财税[2018]第 32 号文件及相
关规定,自 2018 年 5 月 1 日起,本公司代工晶圆的出口退税率为 16%。根据财
税[2019]第 39 号文件及相关规定,自 2019 年 4 月 1 日起,本公司代工晶圆的出
口退税率为 13%。

    3、其他税项

    根据国务院于 2010 年 10 月 18 日颁布的《关于统一内外资企业和个人城市
维护建设税和教育费附加制度的通知》(国发[2010]35 号),从 2010 年 12 月 1 日
起本公司按增值税、消费税、营业税之和的 1%(或 7%)及 3%缴纳城市维护建
设税及教育费附加。从 2011 年 1 月 1 日起本公司按增值税、消费税、营业税之
和的 2%缴纳地方教育费附加,其中,注册于上海的公司从 2018 年 8 月 1 日至
2019 年 7 月 31 日期间按增值税的 1%缴纳地方教育费附加。


(二)税收优惠

    根据《中华人民共和国企业所得税法》及《国家税务总局关于实施高新技术
企业所得税优惠政策有关问题的公告》 国家税务总局公告 2017 年第 24 号), 国
家需要重点扶持的高新技术企业,减按 15%的税率征收企业所得税。企业获得
高新技术企业资格后,自高新技术企业证书注明的发证时间所在年度起申报享受
税收优惠。

    根据于 2008 年 2 月 22 日颁布的《财政部、国家税务总局关于企业所得税若
干优惠政策的通知》(财税[2008]1 号),投资总额超过人民币 80 亿元或集成电路
线宽小于 0.25 微米的集成电路生产企业,可按 15%的优惠税率纳税。如果企业
经营期超过 15 年,则企业自过往年度税务亏损全数弥补后的首个获利年度起计
五年获豁免缴纳所得税,其后五年的所得税减半。根据《国家税务总局关于执行
企业所得税优惠政策若干问题的通知》(财税[2009]69 号),各类情形的定期减免
税,均应按照企业所得税 25%的法定税率计算的应纳税额减半征税。



                                    286
中芯国际集成电路制造有限公司                                    招股意向书

    中国国务院于 2011 年 1 月 28 日发出《国务院关于印发进一步鼓励软件产业
和集成电路产业发展若干政策的通知》(国发[2011]4 号),重新执行财税[2008]1
号通知给予软件和集成电路企业的企业所得税优惠。

    国家税务总局于 2012 年 4 月 20 日发出《财政部、国家税务总局关于进一步
鼓励软件产业和集成电路产业发展企业所得税政策的通知》(财税[2012]27 号),
规定集成电路产业发展的所得税政策。财税[2008]1 号通知部分由财税[2018]27
号废除,而财税[2008]1 号通知的优惠税率政策由财税[2018]27 号通知取代。

    于 2016 年 5 月 4 日,国家税务总局、财政部及其他联合部委公布《关于软
件和集成电路产业企业所得税优惠政策有关问题的通知》(财税[2016]49 号),强
调落实备案制度、澄清税收优惠的若干准则建立备案后核查机制及加强监督管理。

    于 2018 年 3 月 28 日,国家税务总局、财政部及其他联合部委公布《关于集
成电路生产企业有关企业所得税政策问题的通知》(财税[2018]27 号),进一步公
布对在 2018 年 1 月 1 日之前及之后成立的集成电路生产企业提供税收优惠,以
及更新税收优惠的若干准则。[2016]49 号通知已部分被财税[2018]27 号通知废除。

    1、中芯上海

    中芯上海取得发证时间为 2017 年 11 月 23 日的高新技术企业证书,2017 年
至 2019 年的企业所得税税率为 15%。

    2、中芯天津

    中芯天津作为集成电路生产企业,在完全弥补过往年度税务亏损后,自 2013
年起享有十年的企业所得税减免期(首五年全免,其后五年减半),2017 年享受
企业所得税免税优惠,2018 年及 2019 年企业所得税税率为 12.5%。同时,中芯
天津取得发证时间为 2019 年 11 月 28 日的高新技术企业证书。

    3、中芯北京

    中芯北京作为集成电路生产企业,在完全弥补过往年度税务亏损后,自 2015
年起享有十年的企业所得税减免期(首五年全免,其后五年减半),2017 年至 2019
年享受企业所得税免税优惠。同时,中芯北京取得发证时间为 2015 年 12 月 30
日和 2018 年 11 月 30 日的高新技术企业证书。

                                     287
中芯国际集成电路制造有限公司                                                         招股意向书

        4、中芯北方

        中芯北方取得发证时间为 2019 年 12 月 2 日的高新技术企业证书,2019 年
适用 15%的企业所得税税率。于报告期内,中芯北方处于亏损阶段。

        5、中芯长电

        中芯长电取得发证时间为 2016 年 11 月 30 日和 2019 年 11 月 22 日的高新技
术企业证书,2017 年至 2019 年适用 15%的企业所得税税率。于报告期内,中芯
长电处于亏损阶段13。


九、主要财务指标

(一)基本财务指标
                                  2019 年度/             2018 年度/               2017 年度/
            项目
                              2019 年 12 月 31 日    2018 年 12 月 31 日      2017 年 12 月 31 日
流动比率                                      2.39                   2.39                    2.43
速动比率                                      2.17                   2.13                    2.07
资产负债率(合并)                         37.94%                 38.18%                  43.58%
应收账款周转率(次/年)                       7.05                   8.25                    7.16
存货周转率(次/年)                           3.95                   4.16                    4.42
息税折旧摊销前利润
                                        964,801.66            767,573.12               769,988.64
(万元)
归属于发行人股东的净
                                        179,376.42              74,727.83              124,499.06
利润(万元)
归属于发行人股东扣除
非经常性损益后的净利                    -52,209.54             -61,685.35               27,327.59
润(万元)
研发投入占营业收入的
                                           21.55%                 19.42%                  16.72%
比例
每股经营活动产生的现
                                              1.61                   1.01                    1.55
金流量(元)
每股净现金流量(元)                          0.65                   0.06                   -0.56
归属于发行人股东的每
                                              8.62                   8.17                    6.97
股净资产(元)
    注:上述财务指标计算公式:
       流动比率=流动资产÷流动负债
       速动比率=(流动资产-存货)÷流动负债
       资产负债率=负债总额÷资产总额
       应收账款周转率=营业收入÷平均应收账款

13
     中芯长电 2019 年度会计利润为盈利状态,但经纳税调节后仍呈税务亏损状态。


                                               288
中芯国际集成电路制造有限公司                                               招股意向书

    存货周转率=营业成本÷平均存货
    息税折旧摊销前利润=利润总额+财务费用利息支出+折旧+摊销
    每股经营活动产生的现金流量=经营活动产生的现金流量净额÷期末股本总额
    每股净现金流量=现金及现金等价物净增加额÷期末股本总额
    归属于发行人股东的每股净资产=归属于发行人股东的所有权益÷期末股本


(二)净资产收益率及每股收益
                                     加权平均净资产           每股收益(元/股)
      项目             报告期间
                                         收益率          基本每股收益    稀释每股收益
                       2019 年度                4.25%             0.34            0.33
归属于公司普通股
                       2018 年度                1.99%             0.14            0.14
  股东的净利润
                       2017 年度                4.26%             0.27            0.27

扣除非经常性损益       2019 年度                -1.24%           -0.12            -0.12
后归属于公司普通       2018 年度                -1.64%           -0.13            -0.13
股股东的净利润         2017 年度                0.94%             0.06            0.06
    注:加权平均净资产收益率和每股收益计算公式:
    1、加权平均净资产收益率=P0/(E0+NP÷2+Ei×Mi÷M0–Ej×Mj÷M0±Ek×Mk÷M0)

    其中:P0 分别对应于归属于公司普通股股东的净利润、扣除非经常性损益后归属于公
司普通股股东的净利润;NP 为归属于公司普通股股东的净利润;E0 为归属于公司普通股股
东的期初净资产;Ei 为报告期发行新股或债转股等新增的、归属于公司普通股股东的净资
产;Ej 为报告期回购或现金分红等减少的、归属于公司普通股股东的净资产;M0 为报告期
月份数;Mi 为新增净资产次月起至报告期期末的累计月数;Mj 为减少净资产次月起至报告
期期末的累计月数;Ek 为因其他交易或事项引起的、归属于公司普通股股东的净资产增减
变动;Mk 为发生其他净资产增减变动次月起至报告期期末的累计月数。

    2、基本每股收益 P0÷S
    S=S0+S1+Si×Mi÷M0–Sj×Mj÷M0-Sk
    其中,P0 为归属于公司普通股股东的净利润或扣除非经常性损益后归属于普通股股东
的净利润;S 为发行在外的普通股加权平均数;S0 为期初股份总数;S1 为报告期因公积金
转增股本或股票股利分配等增加股份数;Si 为报告期因发行新股或债转股等增加股份数;
Sj 为报告期因回购等减少股份数;Sk 为报告期缩股数;M0 报告期月份数;Mi 为增加股份
次月起至报告期期末的累计月数;Mj 为减少股份次月起至报告期期末的累计月数。
    3、稀释每股收益=P1/(S0+S1+Si×Mi÷M0–Sj×Mj÷M0–Sk+认股权证、股份期权、可
转换债券等增加的普通股加权平均数)

    其中,P1 为归属于公司普通股股东的净利润或扣除非经常性损益后归属于公司普通股



                                          289
中芯国际集成电路制造有限公司                                         招股意向书

股东的净利润,并考虑稀释性潜在普通股对其影响,按《企业会计准则》及有关规定进行调
整。公司在计算稀释每股收益时,应考虑所有稀释性潜在普通股对归属于公司普通股股东的
净利润或扣除非经常性损益后归属于公司普通股股东的净利润和加权平均股数的影响,按照
其稀释程度从大到小的顺序计入稀释每股收益,直至稀释每股收益达到最小值。


十、报告期内取得经营成果的逻辑

(一)行业快速发展推动公司营业收入稳步增长

    公司是一家集成电路晶圆代工企业,主要为客户提供多种技术节点、不同工
艺平台的集成电路晶圆代工及配套服务。

    集成电路行业在需求、政策的驱动下呈现稳步增长的态势。根据中国半导体
行业协会统计,中国集成电路产业销售额由 2012 年的 2,159 亿元增长至 2018 年
的 6,532 亿元,年均复合增长率达 20.26%。需求方面,高速发展的网络通信、消
费电子、计算机等构成了国内集成电路行业下游应用领域的主要部分。随着 5G
通信、物联网、AI 等新技术的持续落地,以及通讯产品、消费品、汽车、工业、
计算机主要下游领域的产业升级,为集成电路行业创造广阔的市场机会;政策方
面,政府先后出台了一系列针对集成电路行业的法律法规和产业政策规范行业发
展秩序,同时通过企业投资、设立行业投资基金的形式为行业发展提供资本帮助,
推动了该行业的发展壮大。

    报告期内,公司扣除 LFoundry 影响后的各期收入分别为 1,984,881.56 万元、
2,154,522.79 万元及 2,132,908.83 万元,符合行业整体发展趋势。


(二)领先的制造能力支撑公司业务不断发展

    公司作为中国大陆技术最先进、规模最大、配套服务最完善、跨国经营的集
成电路代工企业,凭借庞大的产能规模可有效满足下游客户的需求,并且维持较
高的产能利用率。报告期内,公司晶圆产量分别为 4,586,477 片、4,949,261 片、
5,153,061 片,产能利用率分别为 86.72%、91.77%、93.99%,呈现不断增长的良
好态势。




                                      290
中芯国际集成电路制造有限公司                                     招股意向书


(三)高效的研发能力构建公司独特竞争优势

    公司自成立以来高度重视研发创新能力的提升,以客户需求为导向,坚定持
续地进行研发投入,同时进行成熟工艺精进与先进技术开发。公司目前具备本土
最为领先的先进制程技术能力,并在多个领域掌握领先的特色工艺。报告期内,
公司研发投入分别为 357,607.78 万元、447,090.01 万元及 474,445.66 万元,占营
业收入的比例分别高达 16.72%、19.42%及 21.55%。


(四)高质量服务生态助力公司获得优质的客户资源

    公司自成立以来坚持国际化运营的理念,组建了国际化的管理团队与人才队
伍,同时在美国、欧洲、日本和中国台湾、香港等地布局了辐射全球的运营服务
网络。通过多年国际化运营,公司已与国际众多知名企业成为合作伙伴,公司目
前的主要客户及供应商均是海内外半导体产业链知名企业,国际化的视野与布局
使公司能够和合作伙伴保持密切沟通。公司多年来通过不断精进自身的工艺技术
与生产能力来更好服务全球客户,获得了广泛的市场认可。


(五)稳定的核心团队保证了公司的持续经营能力

    公司核心团队成员稳定,在集成电路行业领域积累了丰富的行业实践经验和
多元化的背景,同时具备国际化的经营管理理念,基于公司业务特点,结合行业
发展趋势和市场需求,制定符合公司实际情况的发展模式。


十一、经营成果分析

    报告期内,公司主要经营成果指标情况如下:

                                                                 单位:万元
             项目              2019 年度       2018 年度       2017 年度
营业收入                        2,201,788.29    2,301,670.68     2,138,982.24
综合毛利                          458,710.67      529,838.89      529,587.10
营业利润                          143,218.31       45,511.18       91,253.83
利润总额                          142,699.73       45,638.91       91,381.43
净利润                            126,852.87       36,026.16       90,254.70
归属于母公司股东的净利润          179,376.42       74,727.83      124,499.06
综合毛利率                           20.83%          23.02%          24.76%
净利率                                5.76%           1.57%            4.22%


                                      291
    中芯国际集成电路制造有限公司                                                        招股意向书


    (一)营业收入

           1、营业收入分析

                                                                                             单位:万元
                           2019 年度                     2018 年度                  2017 年度
           项目
                        金额           比例          金额            比例        金额            比例
   主营业务收入     2,146,997.70     97.51%       2,254,069.90     97.93%    2,098,164.91       98.09%
   其他业务收入        54,790.60       2.49%        47,600.78        2.07%       40,817.33        1.91%
           合计     2,201,788.29    100.00%       2,301,670.68    100.00%    2,138,982.24      100.00%

           报告期各期,公司营业收入分别为 2,138,982.24 万元、2,301,670.68 万元及

    2,201,788.29 万元。其中,主营业务收入占比较高,各期分别为 98.09%、97.93%

    及 97.51%。

           公司于 2019 年 7 月将意大利子公司 LFoundry 对外转让,转让后至 2019 年

    末公司合并收入中不再包含 LFoundry 收入。扣除 LFoundry 影响后的各期收入分

    别为 1,984,881.56 万元、2,154,522.79 万元及 2,132,908.83 万元,整体呈上升趋势。

           各期其他业务收入分别为 40,817.33 万元、47,600.78 万元及 54,790.60 万元,

    主要为:(1)上海市民办中芯学校、北京市中芯学校及三所幼儿园收入;(2)员

    工宿舍等租金收入。学校及幼儿园的设立原因系公司成立初期为解决员工的子女

    就学问题,以保证员工的稳定。

           2、主营业务收入分析

           (1)产品构成分析

                                                                                             单位:万元
                             2019 年度                      2018 年度                   2017 年度
          项目
                        金额            比例            金额          比例          金额            比例
集成电路晶圆代工     1,999,379.30        93.12% 2,012,814.34            89.30%   2,012,943.61       95.94%
其他主营业务           147,618.39         6.88%     241,255.57          10.70%      85,221.30        4.06%
  -光掩模制造           71,464.46         3.33%       61,568.85         2.73%       59,510.66        2.84%
  -凸块加工及测试       47,623.52         2.22%       15,941.00         0.71%       11,224.32        0.53%
  -其他                 28,530.42         1.33%     163,745.72          7.26%       14,486.32        0.69%
          合计       2,146,997.70    100.00% 2,254,069.90            100.00%     2,098,164.91     100.00%




                                                  292
 中芯国际集成电路制造有限公司                                                   招股意向书


       报告期各期,公司主营业务收入分别为 2,098,164.91 万元、2,254,069.90 万

 元及 2,146,997.70 万元。其中,集成电路晶圆代工收入占比较高,各期分别为

 95.94%、89.30%及 93.12%。

       各期除集成电路晶圆代工之外的其他主营业务收入合计分别为 85,221.30 万

 元、241,255.57 万元及 147,618.39 万元,占比分别为 4.06%、10.70%及 6.88%,

 主要系公司为客户提供光掩模制造、凸块加工及测试等配套服务实现的收入。公

 司光掩模制造、凸块加工及测试业务凭借较强的竞争优势均保持了较高速的增长,

 复合增长率分别达到 9.58%、105.98%。除光掩模制造及凸块加工及测试外,2018

 年度其他业务收入占比较高主要系当年对中芯绍兴的知识产权授权收入。

       (2)地区分析

                                                                                单位:万元
                       2019 年度                  2018 年度                 2017 年度
       项目
                    金额           比例         金额          比例       金额           比例
中国大陆及香港   1,275,183.52    59.39%   1,331,847.51    59.09%       991,550.41     47.26%
美国              565,841.88     26.36%    712,540.37     31.61%       839,561.42     40.01%
其他              305,972.31     14.25%    209,682.02         9.30%    267,053.08     12.73%
       合计      2,146,997.70   100.00%   2,254,069.90   100.00%      2,098,164.91   100.00%

       经过多年发展,公司在行业内建立了良好的口碑,积累了丰富的客户资源,

 销售区域覆盖中国大陆、美国以及日本、欧洲等其他国家和地区。

       报告期各期,公司来自于中国大陆及香港的主营业务收入分别为 991,550.41

 万元、1,331,847.51 万元及 1,275,183.52 万元,占比分别为 47.26%、59.09%及

 59.39%,呈上升趋势。中国目前是全球最大的集成电路消费地区,市场需求持续

 增加。公司在中国占据地理优势,深耕中国市场。

       公司来自于美国的主营业务收入占比分别为 40.01%、31.61%及 26.36%,呈

 下降趋势,主要系当地客户业务调整所致。来自于其他地区的主营业务收入占比

 分别为 12.73%、9.30%及 14.25%,2019 年增加的主要原因系其他亚洲地区的市

 场需求变化。




                                          293
中芯国际集成电路制造有限公司                                                      招股意向书

       (3)应用领域分析

                                                                                  单位:万元
                        2019 年度                  2018 年度                 2017 年度
    项目
                     金额           比例       金额            比例       金额           比例
通讯产品           981,143.36    45.70%      928,676.80     41.20%      929,101.72     44.28%
消费品             736,149.03    34.29%      775,400.05     34.40%      783,680.98     37.35%
汽车/工业          127,036.75       5.92%    175,817.45        7.80%    165,636.63       7.89%
计算机             112,239.33       5.23%    148,768.61        6.60%    130,100.44       6.20%
其他               190,429.24       8.87%    225,406.99     10.00%       89,645.13       4.27%
    合计         2,146,997.70   100.00%     2,254,069.90   100.00%     2,098,164.91   100.00%

       公司具备多个技术平台的量产能力,可为客户提供通讯产品、消费品、汽车、

工业、计算机等不同产品终端应用领域的集成电路晶圆代工及配套服务。

       报告期各期,应用于通讯产品领域的主营业务收入分别为 929,101.72 万元、

928,676.80 万元及 981,143.36 万元,占比分别为 44.28%、41.20%及 45.70%,2019

年增幅较大,主要系公司通讯领域新应用平台的拓展及产能的增加。随着 5G 终

端应用的持续发展,通讯产品领域将拥有更广阔的市场前景。

       各期应用于消费品领域的主营业务收入分别为 783,680.98 万元、775,400.05

万元及 736,149.03 万元,占比分别为 37.35%、34.40%及 34.29%。各期应用于计

算机领域的主营业务收入分别为 130,100.44 万元、148,768.61 万元及 112,239.33

万元,占比分别为 6.20%、6.60%及 5.23%。该两个应用领域受 2018 年下半年和

2019 年初市场景气度的影响,收入呈现波动。未来物联网、智能家居、高性能

计算等产品市场的增长将为公司带来新的机遇。

       各 期 应 用 于 汽 车 / 工 业 领 域 的 主 营 业 务 收 入 分 别 为 165,636.63 万 元 、

175,817.45 万元及 127,036.75 万元,占比分别为 7.89%、7.80%及 5.92%,呈下降

趋势。其中汽车领域的业务主要来自于 LFoundry,公司 2019 年 7 月将其转让,

使得 2019 年度相关收入有所下降。

       公司于 2018 年对中芯绍兴实现知识产权授权收入 134,790.56 万元。除此以

外,公司应用于其他领域的收入各期分别为 89,645.13 万元、90,616.43 万元及




                                             294
中芯国际集成电路制造有限公司                                                        招股意向书


190,429.24 万元,呈增长趋势,主要系光掩模制造、凸块加工及测试等配套业务

的增长。

     3、集成电路晶圆代工收入分析

     (1)工艺制程分析


     报告期内,公司集成电路晶圆代工收入按工艺制程划分如下:

                                                                                    单位:万元
                       2019 年度                  2018 年度                    2017 年度
    项目
                    金额           比例       金额            比例          金额           比例
14 纳米              5,706.15      0.29%              -              -              -             -
28 纳米            80,685.76       4.03%    124,522.10        6.19%       163,397.35       8.12%
40/45 纳米        347,382.50     17.37%     388,996.97     19.33%         392,131.57     19.48%
55/65 纳米        545,767.88     27.30%     449,694.17     22.34%         415,599.50     20.65%
90 纳米            32,965.44       1.65%     39,304.03        1.95%        30,082.50       1.49%
0.11/0.13 微米    132,631.14       6.63%    157,945.27        7.85%       238,495.95     11.85%
0.15/0.18 微米    770,823.18     38.55%     776,154.41     38.56%         713,867.06     35.46%
0.25/0.35 微米     83,417.25       4.17%     76,197.38        3.79%        59,369.68       2.95%
    合计         1,999,379.30   100.00%    2,012,814.34   100.00%        2,012,943.61   100.00%

     公司为客户提供包括 0.25/0.35 微米、0.15/0.18 微米、0.11/0.13 微米、90 纳

米、55/65 纳米、40/45 纳米、28 纳米及 14 纳米在内的多种技术节点的集成电路

晶圆代工及配套服务。集成电路晶圆代工是公司的主要收入来源。报告期各期,

公司集成电路晶圆代工收入分别为 2,012,943.61 万元、2,012,814.34 万元及

1,999,379.30 万元。

     报告期内公司集中力量布局逻辑电路、电源/模拟、高压驱动、嵌入式非挥

发性存储、非易失性存储、混合信号/射频、图像传感器等技术平台,抓住摄像

头、电源管理、特殊存储器、指纹识别、蓝牙等市场热点应用,保持各细分领域

优势的同时持续拓展产品应用范围。各期 55/65 纳米产品占比分别为 20.65%、

22.34%及 27.30%,0.15/0.18 微米产品占比分别为 35.46%、38.56%及 38.55%。

     报告期内,公司 28 纳米收入金额呈下降趋势,主要系 28nm 全球纯晶圆代

工厂商的产能布局较多,造成 2018 年和 2019 年度全球 28nm 市场产能过剩。公



                                            295
中芯国际集成电路制造有限公司                                                       招股意向书


司出于市场经营策略和客户需求考虑,在满足订单需求的前提下,优化产品组合,

将部分原用于 28 纳米制程的通用设备转用于生产盈利较高的其他制程产品,使

得 28 纳米制程产品的收入呈现下降趋势。

    公司在第一代 14 纳米 FinFET 技术方面取得了突破性进展,并于 2019 年第

四季度进入量产,同时第二代 FinFET 技术平台持续客户导入。公司研发的 FinFET

技术将主要应用于 5G、高性能计算、人工智能、物联网及汽车电子等新兴领域,

进一步扩大公司产品和服务的应用范围。

    (2)销量及均价分析


    报告期内,公司晶圆的销量及均价情况如下:

       项目                   2019 年度                2018 年度               2017 年度
 销售收入(万元)                1,999,379.30             2,012,814.34             2,012,943.61
    销量(片)                      5,028,796                 4,874,663                4,310,779
销售均价(元/片)                    3,975.86                  4,129.14                 4,669.56
注:晶圆销量及均价按照约当 8 英寸统计

    随着公司产能及产能利用率逐年增加,公司晶圆销量稳步增长。报告期各期,

公司晶圆产能(约当 8 英寸)分别为 5,289,113 片、5,393,219 片及 5,482,475 片,

产能利用率分别为 86.72%、91.77%及 93.99%,销量分别为 4,310,779 片、4,874,663

片及 5,028,796 片。

    各期销售均价分别为 4,669.56 元/片、4,129.14 元/片及 3,975.86 元/片,呈现

下降趋势,主要原因系:(1)2018 年下半年及 2019 年初集成电路行业景气度有

所下降;(2)公司针对客户的需求对自身产品结构进行了一定调整。

    4、季节性分析

    报告期内,公司主营业务收入按季度列示如下:

                                                                                       单位:万元
                      2019 年度                   2018 年度                   2017 年度
    季度
                    金额          比例          金额          比例         金额           比例
第一季度         460,935.77      21.47%     557,512.08      24.73%        536,578.24     25.57%
第二季度         544,993.53      25.38%     597,541.49      26.51%        508,235.33     24.22%



                                            296
 中芯国际集成电路制造有限公司                                                            招股意向书


                       2019 年度                      2018 年度                    2017 年度
     季度
                    金额           比例          金额             比例          金额           比例
 第三季度          562,614.05      26.20%       570,672.49      25.32%        520,772.38       24.82%
 第四季度          578,454.35      26.94%       528,343.85      23.44%        532,578.96       25.38%
     合计       2,146,997.70    100.00%      2,254,069.90      100.00%       2,098,164.91     100.00%

      报告期各期,公司各季度的主营业务收入占比均在 21%~27%之间,差异较

 小,不存在明显的收入季节性情形。

 (二)营业成本

      1、营业成本分析

                                                                                            单位:万元
                        2019 年度                     2018 年度                    2017 年度
     项目
                     金额           比例          金额            比例          金额           比例
 主营业务成本   1,694,241.33       97.20%    1,732,671.43       97.79%       1,569,514.41      97.52%
 其他业务成本       48,836.29       2.80%        39,160.37        2.21%        39,880.73        2.48%
     合计       1,743,077.63    100.00%      1,771,831.79      100.00%       1,609,395.14     100.00%

      报告期各期,公司营业成本分别为 1,609,395.14 万元、1,771,831.79 万元及

 1,743,077.63 万元。其中,主营业务成本占比超过 97%,各期分别为 97.52%、97.79%

 及 97.20%。

      各期其他业务成本分别为 39,880.73 万元、39,160.37 万元及 48,836.29 万元,

 占营业成本的比例小且较为稳定,各期分别为 2.48%、2.21%及 2.80%。

      2、主营业务成本分析

                                                                                            单位:万元
                            2019 年度                    2018 年度                     2017 年度
      项目
                       金额           比例            金额         比例           金额             比例
集成电路晶圆代工    1,609,013.19     94.97% 1,664,451.22           96.06%      1,510,468.02     96.24%
其他主营业务          85,228.14         5.03%      68,220.20         3.94%       59,046.39         3.76%
      合计          1,694,241.33    100.00% 1,732,671.43          100.00%      1,569,514.41 100.00%

      报告期各期,公司主营业务成本分别为 1,569,514.41 万元、1,732,671.43 万

 元、及 1,694,241.33 万元。其中,集成电路晶圆代工成本占比较高,各期分别为

 96.24%、96.06%及 94.97%,


                                                297
中芯国际集成电路制造有限公司                                                    招股意向书


    各期其他主营业务成本分别为 59,046.39 万元、68,220.20 万元及 85,228.14

万元,占比分别为 3.76%、3.94%及 5.03%。

    3、集成电路晶圆代工成本分析

    报告期内,公司集成电路晶圆代工的成本结构如下:

                                                                                 单位:万元

                 2019 年度                  2018 年度                  2017 年度
  项目
              金额           比例        金额           比例        金额           比例
直接材料    148,652.67       9.24%     134,084.50       8.06%      90,674.06        6.00%
直接人工     51,089.31       3.18%      46,477.97       2.79%      46,488.12        3.08%
制造费用   1,409,271.21      87.59%   1,483,888.75      89.15%   1,373,305.84      90.92%
  合计     1,609,013.19   100.00%     1,664,451.22   100.00%     1,510,468.02     100.00%

    报告期各期,公司集成电路晶圆代工成本 分别为 1,510,468.02 万元、

1,664,451.22 万元及 1,609,013.19 万元,由直接材料、直接人工及制造费用构成。

    各期直接材料成本分别为 90,674.06 万元、134,084.50 万元及 148,652.67 万

元,占比分别为 6.00%、8.06%、9.24%,为生产过程中使用的硅片。直接材料逐

年增加的主要原因系:(1)报告期内公司晶圆销量逐年增加,对硅片需求提升;

(2)硅片的整体市场价格呈上升趋势;(3)公司由于业务需要采购的硅片类型

及单价有所变化。

    各期直接人工成本分别为 46,488.12 万元、46,477.97 万元及 51,089.31 万元,

占比分别为 3.08%、2.79%及 3.18%,金额及占比相对较小,为与生产活动直接

相关的一线生产人员的薪酬费用。公司其他非一线的间接生产人员薪酬费用在制

造费用中进行核算。

    各期制造费用分别为 1,373,305.84 万元、1,483,888.75 万元及 1,409,271.21

万元,各期占比分别为 90.92%、89.15%及 87.59%,占比呈下降趋势,主要系直

接材料占比的上升。制造费用最主要的构成为厂房及生产设备的折旧费用,公司

的折旧费用对毛利率水平有重要的影响。集成电路晶圆代工行业是资本密集型行

业,新产线投产后会在短期内面临较高的折旧压力,随着生产规模的增长与折旧




                                         298
 中芯国际集成电路制造有限公司                                                        招股意向书


 费用的递减,产线的毛利率水平将会逐渐提升。此外公司制造费用还包括间接生

 产人员的薪酬费用、光阻及化学品等间接材料费用、能源费用等。

 (三)毛利及毛利率

      1、毛利分析

      (1)综合毛利分析

                                                                                     单位:万元
                        2019 年度                  2018 年度                 2017 年度
     项目
                     金额          比例        金额          比例         金额          比例
 主营业务毛利      452,756.36      98.70%    521,398.48      98.41%     528,650.50      99.82%
 其他业务毛利        5,954.30       1.30%      8,440.41        1.59%       936.60        0.18%
     合计          458,710.67     100.00%    529,838.89     100.00%     529,587.10     100.00%

      报告期各期,公司综合毛利分别为 529,587.10 万元、529,838.89 万元及

 458,710.67 万元,主要由主营业务毛利构成,主营业务毛利各期占比分别为

 99.82%、98.41%及 98.70%。

      (2)主营业务毛利分析

                                                                                     单位:万元
                            2019 年度                 2018 年度                  2017 年度
      项目
                       金额          比例          金额        比例         金额          比例
集成电路晶圆代工     390,366.11     86.22%     348,363.12      66.81%    502,475.59       95.05%
其他主营业务          62,390.25     13.78%     173,035.36      33.19%     26,174.91          4.95%
      合计           452,756.36    100.00%     521,398.48    100.00%     528,650.50      100.00%

      报告期各期,公司主营业务毛利分别为 528,650.50 万元、521,398.48 万元及

 452,756.36 万元,主要由集成电路晶圆代工毛利构成,集成电路晶圆代工毛利各

 期占比分别为 95.05%、66.81%及 86.22%。

      各期其他主营业务毛利分别为 26,174.91 万元、173,035.36 万元及 62,390.25

 万元,占比分别为 4.95%、33.19%及 13.78%。2018 年度其他主营业务毛利较高

 的主要原因系当年对中芯绍兴知识产权授权业务的毛利较高。此外,光掩模制造

 业务的增加也使得其他主营业务毛利呈增长趋势。

      2、毛利率分析

                                             299
中芯国际集成电路制造有限公司                                                            招股意向书

    (1)综合毛利率分析

                          2019 年度                    2018 年度                   2017 年度
     项目
                      收入比例      毛利率      收入比例        毛利率      收入比例         毛利率
主营业务收入            97.51%      21.09%           97.93%      23.13%          98.09%       25.20%
其他业务收入             2.49%      10.87%           2.07%       17.73%           1.91%        2.29%
     合计              100.00%      20.83%       100.00%        23.02%          100.00%      24.76%

    报告期各期,公司综合毛利率分别为 24.76%、23.02%及 20.83%,变动趋势

与主营业务毛利率保持一致。

    (2)主营业务毛利率分析

                                                                                        单位:万元
                             2019 年度                   2018 年度                  2017 年度
       项目
                        收入比例      毛利率      收入比例       毛利率         收入比例     毛利率
集成电路晶圆代工           93.12%     19.52%          89.30%       17.31%         95.94%      24.96%
其他主营业务                6.88%     42.26%          10.70%       71.72%          4.06%      30.71%
       合计              100.00%      21.09%         100.00%     23.13%          100.00%      25.20%

    报告期各期,公司主营业务毛利率分别为 25.20%、23.13%及 21.09%。2018

年度其他主营业务的毛利率较高主要系当年知识产权授权业务所致。

    (3)集成电路晶圆代工毛利率分析

               项目                    2019 年度               2018 年度             2017 年度
 集成电路晶圆代工收入(万元)            1,999,379.30           2,012,814.34          2,012,943.61
 集成电路晶圆代工成本(万元)            1,609,013.19           1,664,451.22          1,510,468.02
         销量(片)                          5,028,796             4,874,663               4,310,779
      平均单价(元/片)                       3,975.86               4,129.14               4,669.56
      平均成本(元/片)                       3,199.60               3,414.49               3,503.93
   集成电路晶圆代工毛利率                      19.52%                17.31%                  24.96%
注:销量及均价按照约当 8 英寸统计

    各期集成电路晶圆代工毛利率分别为 24.96%、17.31%及 19.52%。

    2018 年度集成电路晶圆代工毛利率为 17.31%,较 2017 年度下降 7.65 个百

分点,主要系平均单价的降幅超过平均成本的降幅所致。具体来看,2018 年平

均单价为 4,129.14 元/片,较 2017 年下降 540.42 元/片,降幅为 11.57%;而 2018



                                               300
中芯国际集成电路制造有限公司                                       招股意向书


年平均成本为 3,414.49 元/片,较 2017 年仅下降 89.44 元/片,降幅为 2.55%。平

均单价减少的主要原因系 2018 年下半年集成电路行业景气度有所下降。

    2019 年度集成电路晶圆代工毛利率为 19.52%,较 2018 年度回升 2.21 个百

分点,主要系平均成本的降幅超过平均单价的降幅所致。具体来看,2019 年平

均单价为 3,975.86 元/片,较 2018 年仅下降 153.28 元/片,降幅为 3.71%;而 2019

年平均成本为 3,199.60 元/片,较 2018 年下降 214.89 元/片,降幅为 6.29%。平

均成本较大幅度下降的主要原因系:(1)公司优化了产品组合;(2)公司产能利

用率由 2018 年度的 91.77%提升到 2019 年度的 93.99%。

    报告期内,公司 28 纳米产品毛利率为负。主要原因系:(1)目前,28 纳米

制程全球纯晶圆代工厂商有 5 家,各家厂商在 28 纳米制程均布局有较多产能,

一定程度超出了该制程节点在当前的市场需求,2018 年和 2019 年度全球 28 纳

米制程市场出现产能过剩。因此,报告期内公司 28 纳米制程的晶圆代工平均销

售单价于 2018 年较 2017 年有所下滑,2019 年较 2018 年有所上升,但仍低于 2017

年的平均单价;(2)公司 28 纳米制程相关的产线仍面临较高的折旧压力。集成

电路晶圆代工行业是资本密集型行业,新产线投产后会在一定时期内面临较高的

折旧负担,随着生产规模的增长与折旧压力的递减,产线的毛利率水平将会逐渐

提升。公司 28 纳米制程晶圆代工业务毛利为负的情况符合行业后入者规律。

    3、公司与可比上市公司的毛利率对比分析

    报告期内,公司综合毛利率与可比上市公司的对比情况如下:

    可比上市公司          2019 年度          2018 年度         2017 年度
       台积电                      46.05%          48.28%            50.62%
      联华电子                     14.38%          15.10%            18.12%
     华虹半导体                    30.29%          33.45%            33.06%
     高塔半导体                    18.61%          22.46%            25.54%
       华润微                      22.84%          25.20%            17.62%
        平均                       26.43%          28.90%            28.99%
 平均(剔除台积电)                21.53%          24.05%            23.59%
      中芯国际                     20.83%          23.02%            24.76%
数据来源:可比上市公司定期报告。



                                       301
中芯国际集成电路制造有限公司                                                       招股意向书


       台积电作为全球技术水平和工艺节点最先进的龙头集成电路晶圆代工厂商,

在晶圆代工市场占据绝对主导地位,拥有先发优势及规模效应,毛利率显著高于

其他公司;华虹半导体、高塔半导体、华润微主要聚焦于成熟及特色工艺,产线

折旧压力相对较小,毛利率相对较高。

       为满足客户不断增长的需求,中芯国际持续扩充产能,带来了折旧费用增加,

对毛利率产生一定影响。但整体而言,中芯国际综合毛利率与剔除台积电后的可

比上市公司平均毛利率处于同一水平。

(四)期间费用

       报告期内,公司期间费用及占营业收入比例情况如下:

                                                                                   单位:万元
                        2019 年度                 2018 年度                2017 年度
       项目                    占营业收                  占营业收                   占营业收
                     金额                     金额                      金额
                                 入比例                    入比例                     入比例
销售费用         18,225.99          0.83%    19,004.57        0.83%    22,850.52       1.07%
管理费用        151,779.91          6.89%   115,934.88        5.04%   112,022.29       5.24%
研发费用        474,445.66       21.55%     447,090.01     19.42%     357,607.78      16.72%
财务费用        -56,126.57       -2.55%      -9,676.76     -0.42%      18,459.28       0.86%

       1、销售费用

       报告期内,公司销售费用明细情况如下:

                                                                                   单位:万元
                        2019 年度                 2018 年度                2017 年度
       项目
                     金额        比例         金额         比例         金额          比例
职工薪酬费用     13,175.11       72.29%      14,426.52     75.91%      14,434.81      63.17%
推广费            2,366.92       12.99%       1,250.29        6.58%     2,880.84      12.61%
租赁费                597.95        3.28%      629.44         3.31%      653.68        2.86%
差旅费                439.71        2.41%      486.76         2.56%     1,247.97       5.46%
股份支付摊销
                      366.06        2.01%      587.12         3.09%      730.41        3.20%
费
咨询费                336.86        1.85%      389.94         2.05%      593.61        2.60%
交际应酬费            196.59        1.08%      194.37         1.02%      267.26        1.17%
折旧费用              187.65        1.03%      203.41         1.07%      185.63        0.81%
其他                  559.12        3.07%      836.72         4.40%     1,856.30       8.12%



                                            302
中芯国际集成电路制造有限公司                                                          招股意向书


                       2019 年度                      2018 年度               2017 年度
    项目
                   金额          比例            金额         比例         金额          比例
    合计          18,225.99     100.00%         19,004.57    100.00%      22,850.52     100.00%

    公司销售费用主要包括职工薪酬费用、推广费、差旅费等,报告期各期分别
为 22,850.52 万元、19,004.57 万元及 18,225.99 万元,呈下降趋势。

    职工薪酬费用主要为销售人员的工资费用,各期分别为 14,434.81 万元、
14,426.52 万元及 13,175.11 万元,占销售费用的比例分别为 63.17%、75.91%及
72.29%,与销售人员数量的变动趋势保持一致。

    推广费各期分别为 2,880.84 万元、1,250.29 万元及 2,366.92 万元,主要为公
司推出新技术平台期间提供给客户的试片费用。各期存在差异的原因系新平台推
出时间有所不同,2018 年度相对较少。

    差旅费主要为销售人员来往各地开拓及维护业务的差旅费用,各期分别为
1,247.97 万元、486.76 万元及 439.71 万元。公司 2018 年开始执行更为严格的差
旅费管理制度,因此 2018 年度、2019 年度相对较少。

    报告期内,中芯国际的销售费用率与台积电、华虹半导体处于同一水平,较
联华电子、华润微稍低,主要系各公司销售政策及投入的不同,具体情况如下:

   可比上市公司               2019 年度                 2018 年度             2017 年度
      台积电                            0.59%                     0.58%                  0.61%
     联华电子                           2.57%                     2.58%                  2.84%
    华虹半导体                          0.95%                     0.84%                  0.89%
    高塔半导体                             --                        --                      --
      华润微                            1.95%                     2.01%                  2.04%
       平均                             1.52%                     1.50%                  1.60%
     中芯国际                           0.83%                     0.83%                  1.07%
数据来源:可比上市公司定期报告。其中,高塔半导体未单独披露销售费用金额。

    2、管理费用

    报告期内,公司管理费用明细情况如下:

                                                                                      单位:万元
                       2019 年度                      2018 年度               2017 年度
    项目
                   金额          比例            金额         比例         金额          比例


                                                303
中芯国际集成电路制造有限公司                                                     招股意向书


                      2019 年度                 2018 年度                2017 年度
       项目
                  金额         比例         金额        比例          金额          比例
职工薪酬费用     60,350.70     39.76%      48,564.14    41.89%       55,715.61      49.74%
维修维护费       21,278.34     14.02%       3,228.55        2.78%     1,908.20       1.70%
折旧费用         12,398.51        8.17%    18,721.82    16.15%       11,365.06      10.15%
原材料及低值
                 12,378.03        8.16%     3,855.55        3.33%     1,475.97       1.32%
易耗品消耗
燃料动力及水
                  9,720.90        6.40%     4,633.74        4.00%     5,275.89       4.71%
电费
保安保洁费        6,830.13        4.50%     5,153.78        4.45%     5,138.55       4.59%
电脑及软件费      3,376.05        2.22%     3,155.88        2.72%     2,805.85       2.50%
摊销费用          2,975.29        1.96%     1,922.88        1.66%     2,166.95       1.93%
研究测试费用      2,674.43        1.76%     2,609.18        2.25%      752.58        0.67%
残疾人保障金      2,342.07        1.54%     2,391.95        2.06%     1,854.95       1.66%
咨询费            2,190.68        1.44%     2,794.97        2.41%      683.55        0.61%
租赁费            2,102.62        1.39%     2,058.96        1.78%     2,327.61       2.08%
股份支付摊销
                  1,859.18        1.22%     3,094.81        2.67%     7,052.71       6.30%
费
其他             11,302.99        7.45%    13,748.65    11.86%       13,498.79      12.05%
       合计     151,779.91   100.00%      115,934.88   100.00%      112,022.29     100.00%

       公司管理费用主要包括职工薪酬费用、维修维护费、折旧费用、原材料及低

值易耗品消耗等,报告期各期分别为 112,022.29 万元、115,934.88 万元及

151,779.91 万元,呈稳步增长趋势。

       职工薪酬费用主要为管理人员的工资费用,与管理人员数量的变动趋势保持

一致,各期分别为 55,715.61 万元、48,564.14 万元及 60,350.70 万元,占管理费

用的比例分别为 49.74%、41.89%及 39.76%。

       维修维护费、原材料及低值易耗品消耗、燃料动力及水电费、研究测试费用

均为新工厂在开办期内的相关费用支出,根据《企业会计准则》的要求计入管理

费用。上述费用各期合计分别为 9,412.64 万元、14,327.02 万元及 46,051.70 万元。

由于中芯天津新厂房主要的开办费用发生于 2018 年,中芯南方厂房主要的开办

费用发生于 2019 年,因此上述开办相关费用在报告期内呈逐年上升趋势。

       报告期内,公司管理费用率整体处于可比上市公司平均水平,2019 年度管
理费用率较高主要系中芯南方的开办费用,具体情况如下:



                                          304
中芯国际集成电路制造有限公司                                                               招股意向书


   可比上市公司                  2019 年度                 2018 年度               2017 年度
         台积电                            2.03%                     1.96%                    2.17%
        联华电子                           3.59%                     3.27%                    2.84%
       华虹半导体                       11.44%                       8.34%                    7.31%
       高塔半导体                             --                         --                       --
         华润微                            6.56%                     5.96%                    6.52%
          平均                             5.91%                     4.88%                    4.71%
        中芯国际                           6.89%                     5.04%                    5.24%
数据来源:可比上市公司定期报告。其中,高塔半导体未单独披露管理费用金额。

       3、研发费用

       报告期内,公司研发费用明细情况如下:

                                                                                           单位:万元
                          2019 年度                      2018 年度                 2017 年度
       项目
                      金额          比例            金额         比例           金额          比例
折旧费用            143,962.01       30.34%        90,285.68     20.19%        75,777.62      21.19%
研究测试费用        131,442.18       27.70%    184,458.55        41.26%       111,458.31      31.17%
职工薪酬费用         92,862.78       19.57%        84,599.96     18.92%        70,449.86      19.70%
电脑及软件费         38,903.37        8.20%        32,238.38         7.21%     32,825.33       9.18%
原材料及低值
                     19,560.24        4.12%         8,776.40         1.96%     14,150.61       3.96%
易耗品
维修维护费           15,890.07        3.35%        10,007.50         2.24%     16,440.99       4.60%
摊销费用             10,929.93        2.30%        12,762.13         2.85%     14,358.18       4.02%
燃料动力及水
                     10,916.13        2.30%         7,701.76         1.72%      4,025.63       1.13%
电费
其他                  9,978.94        2.10%        16,259.64         3.64%     18,121.23       5.07%
       合计         474,445.66     100.00%     447,090.01       100.00%       357,607.78     100.00%

       公司研发费用主要包括折旧费用、研究测试费用、职工薪酬费用等,报告期
各期分别为 357,607.78 万元、447,090.01 万元及 474,445.66 万元,呈增长趋势。

       各期折旧费用分别为 75,777.62 万元、90,285.68 万元及 143,962.01 万元,主
要系报告期内公司持续增加研发投入,购置了部分单价较高的先进机器设备,使
得折旧费用逐年增加。

       各期研究测试费用主要为试片费,各期分别为 111,458.31 万元、184,458.55
万元及 131,442.18 万元,2019 年度有所减少,主要系公司研发效率的提升。

       各期职工薪酬费用分别为 70,449.86 万元、84,599.96 万元及 92,862.78 万元,

                                                   305
中芯国际集成电路制造有限公司                                                         招股意向书

主要系报告期内研发人员数量的增加,各期末分别为 1,941 人、2,096 人及 2,530
人。

    报告期各期,公司先进工艺的研发预算分别为 160,411.08 万元,238,030.24
万元,464,199.27 万元,成熟工艺的研发预算分别为 211,561.25 万元,168,685.41
万元,146,791.59 万元。研发费用对应研发项目的具体情况如下:

                                                                                 单位:万元
          研发项目              2019 年度          2018 年度        2017 年度         实施进度
14 纳米及以下制程研发项目         331,828.22        284,834.01          108,585.55     进行中
28 纳米制程研发项目                42,938.80         80,171.50          173,436.43     进行中
40/45 纳米制程研发项目             40,821.12         33,305.69           25,158.97     进行中
55/65 纳米制程研发项目             12,586.01         14,415.43           14,617.36     进行中
90 纳米制程研发项目                 3,069.79            906.00            2,626.11     进行中
0.11/0.13 微米制程研发项目         16,305.92         10,503.50           12,250.89     进行中
0.15/0.18 微米制程研发项目         21,480.34         18,398.17           17,114.57     进行中
0.25 微米制程研发项目                    4.15           593.76             464.46      进行中
0.35 微米制程研发项目               5,411.31          3,961.93            3,353.45     进行中
            总计                  474,445.66        447,090.01          357,607.78

    报告期内,公司为缩短与全球最先进制程差距,不断加大先进制程的研发投
入,相继完成了 28 纳米 HKC+工艺及第一代 14 纳米 FinFET 工艺的研发并实现
量产,第二代 FinFET 工艺的研发也在稳健进行中,同时不断拓展成熟工艺应用
平台。公司研发费用率高于可比上市公司,具体情况如下:

   可比上市公司              2019 年度               2018 年度                2017 年度
         台积电                      8.54%                      8.33%                   8.26%
        联华电子                     8.00%                      8.61%                   9.16%
       华虹半导体                    6.77%                      4.81%                   6.14%
       高塔半导体                    6.12%                      5.60%                   4.88%
         华润微                      8.40%                      7.17%                   7.61%
         平均                        7.57%                     6.90%                    7.21%
       中芯国际                     21.55%                     19.42%                  16.72%
数据来源:可比上市公司定期报告。

       4、财务费用



                                             306
中芯国际集成电路制造有限公司                                                                             招股意向书


       报告期内,公司财务费用明细情况如下:

                                                                                                         单位:万元
             项目                          2019 年度                    2018 年度                    2017 年度
利息支出                                            86,436.35                    57,608.21                 47,050.77
减:资本化利息                                      42,705.90                    31,273.15                 20,733.30
          利息费用                                  43,730.45                    26,335.06                 26,317.47
减:利息收入                                        96,017.54                    44,277.23                 18,170.49
汇兑损益                                             -4,754.08                    7,475.94                  9,187.11
其他                                                   914.59                       789.47                  1,125.19
             合计                                   -56,126.57                   -9,676.76                 18,459.28

       报告期内,公司财务费用分别为 18,459.28 万元、-9,676.76 万元及-56,126.57

万元。报告期内由于货币资金的增加使得利息收入逐年增加。另外,本外币汇率

的变化使得 2019 年度汇兑损益有所减少。

(五)政府补助
                                                                                                          单位:万元
                            2019 年度                            2018 年度                          2017 年度
   项目                           计入非经常                           计入非经常                         计入非经常
                     金额                                金额                                金额
                                  性损益金额                           性损益金额                         性损益金额
与资产相关           72,219.25          72,219.25        45,456.63           45,456.63       38,935.36          38,935.36
与收益相关          131,707.65     131,707.65            65,208.11           65,208.11       63,434.83          63,434.83
   合计             203,926.91     203,926.91           110,664.73       110,664.73      102,370.18        102,370.18


       报告期各期,公司政府补助分别为 102,370.18 万元、110,664.73 万元及
203,926.91 万元,均计入其他收益,会计处理符合《企业会计准则第 16 号——
政府补助》的规定。同时,公司所有政府补助均按计入非经常性损益,符合《公
开发行证券的公司信息披露解释性公告第 1 号——非经常性损益》的要求。


       作为拥有多项核心技术的高新技术企业,公司各期研发投入较高,也享受政

府对公司各项科研成果较高的资金补贴。在可预见的未来,公司将维持目前的经

营模式,持续对科技创新技术及设备进行研发,公司的政府补助及非经常性损益

也将继续保持在较高水平。

(六)缴税情况

       报告期内,公司主要税种的缴纳情况如下:



                                                         307
中芯国际集成电路制造有限公司                                                              招股意向书

                                                                                          单位:万元
                        2019 年度                    2018 年度                      2017 年度
     项目
                  应缴数       已缴数         应缴数            已缴数         应缴数       已缴数
增值税           -35,665.40    5,899.97      -20,999.53          8,657.47    -19,973.31      1,776.17
企业所得税         5,778.23         144.50    9,428.04          17,364.54       -452.29       -874.10
房产税             3,699.16    3,700.38       2,990.13           3,090.13      2,921.38      2,921.38
印花税             2,761.53    2,604.82       4,289.08           4,353.69      2,656.02      2,498.67
城市维护建设税     2,567.89    2,563.08            441.24         533.34       4,453.75      4,506.90
教育费附加         1,856.26    1,853.58            454.45         519.48       3,198.93      3,236.92
土地使用税          604.16          604.16         901.08         938.27        961.77          961.45

    中芯天津自 2013 年起享有集成电路生产企业“五免五减半”的企业所得税

优惠政策,2017 年处于免税期,2018 年起按照 12.5%的税率缴纳企业所得税。

因此公司 2018 年企业所得税相比 2017 年度大幅增加。

    根据《关于集成电路企业增值税期末留抵退税有关城市维护建设税教育费附

加和地方教育附加政策的通知》(财税〔2017〕17 号),享受增值税期末留抵退

税政策的集成电路企业,退还的增值税期末留抵税额,在城市维护建设税、教育

费附加和地方教育附加的计税(征)依据中予以扣除。因此公司 2018 年度城市

维护建设税、教育费附加相比 2017 年度有所减少。

    除此之外,不存在税收政策的变化对公司产生重大影响的情形。

(七)归属于母公司股东的其他综合收益

    报告期内,发行人归属于母公司股东的其他综合收益的税后金额的情况如下:
                                                                                          单位:万元
                 项目                        2019 年度             2018 年度            2017 年度
不能重分类进损益的其他综合收益
其中:重新计量设定受益计划变动额               -1,039.66                    85.47            -294.74
将重分类进损益的其他综合收益
其中:权益法下可转损益的其他综合收益                        -                   -          11,530.01
     可供出售金融资产公允价值变动
                                                            -                   -           -1,599.85
     损益
     现金流量套期储备的有效部分               -18,087.12              23,807.68            23,747.75
     外币报表折算差额                          63,473.72             159,255.61           -144,843.46



                                             308
中芯国际集成电路制造有限公司                                   招股意向书


               项目                2019 年度    2018 年度     2017 年度
               合计                 44,346.94    183,148.76     -111,460.29

   发行人归属于母公司股东的其他综合收益主要包括重新计量设定受益计划

变动额、权益法下可转损益的其他综合收益、现金流量套期储备和外币报表折算

差额。

   报告期各期,发行人重新计量设定受益计划变动额分别为-294.74 万元、85.47

万元和-1,039.66 万元,该项变化主要是由于重新计量设定受益计划净负债或者净

资产的变动所致。发行人子公司 LFoundry 的雇员有权参加设定受益计划,发行

人于资产负债表日将该设定受益计划中确定的设定受益义务的现值列示为负债,

设定受益义务由独立精算师使用预期累计福利单位法计算得出。重新计量设定受

益计划净负债或者净资产的变动计入其他综合收益,在后续会计期间不能转回至

损益。

   2017 年,发行人确认权益法下可转损益的其他综合收益 11,530.01 万元,主

要由于发行人按照权益法确认合营企业所投资的可供出售金融资产的公允价值

变动收益,计入其他综合收益所致。2017 年,发行人确认其投资的可供出售金

融资产的公允价值变动合计-1,599.85 万元,计入其他综合收益。发行人于 2018

年 1 月 1 日执行新金融工具准则,原以公允价值计量且其变动计入其他综合收益

的可供出售金融资产变更为以公允价值计量且其变动计入当期损益的其他非流

动金融资产,原计入其他综合收益的部分转出至 2018 年年初未分配利润,因此

2018 年度及 2019 年度权益法下可转损益的其他综合收益及可供出售金融资产公

允价值变动损益额均为零。

   报告期各期,发行人确认现金流量套期储备分别为 23,747.75 万元、23,807.68

万元和-18,087.12 万元,该项变化主要是由于现金流量套期有效部分变动。发行

人对会导致现金流量变动风险的浮动利率借款和固定利率借款进行现金流量套

期,并对套期工具利得或损失中属于有效套期的部分计入其他综合收益。

    报告期各期,发行人确认外币报表折算差额分别为 -144,843.46 万元、

159,255.61 元和 63,473.72 万元,该项变化主要是由于汇率波动所致。发行人及

主要子公司的记账本位币为美元,申报报表以人民币列示。非人民币资产负债表


                                  309
中芯国际集成电路制造有限公司                                    招股意向书


中的资产和负债项目,采用资产负债表日的即期汇率折算,股东权益中除未分配

利润项目外,其他项目采用发生时的即期汇率折算。非人民币利润表中的收入与

费用项目,采用交易发生日的即期汇率折算。上述折算产生的外币报表折算差额,

计入其他综合收益。

(八)扣除非经常性损益后归属于母公司股东的净利润

   1、原因分析

    公司 2017 年扣除非经常性损益后归属于母公司股东的净利润为 27,327.59

万元,为盈利状态。2018 年及 2019 年,由于先进和成熟工艺生产线的扩产,公

司面临较高的折旧压力,尚未体现规模效应,且公司研发投入不断增大,使得扣

除非经常性损益后归属于母公司股东的净利润为负,2018 年、2019 年分别为

-61,685.35 万元、-52,209.54 万元。

    集成电路晶圆代工行业是资本密集型行业,新产线投产后会在短期内面临较

高的折旧负担,且部分新工艺平台升级带来的销售收入增长具有一定的滞后性,

对晶圆代工企业的盈利水平产生一定影响。随着生产规模的增长与折旧压力的递

减,产线的毛利率水平将会逐渐提升。因此,公司扣除非经常性损益后归属于母

公司股东的净利润短期内为负的情况符合行业规律。

   2、影响分析

    公司扣除非经常性损益归属于母公司股东的净利润为负的主要原因系公司

先进和成熟工艺生产线的扩产,符合公司所处晶圆代工行业的特点。报告期内,

公司生产经营运作及销售回款情况良好,经营活动产生的现金流量净额保持在较

高水平,分别为 776,907.50 万元、520,990.89 万元、813,999.25 万元。经营性现

金流量的持续流入,为公司业务拓展、人才吸引、团队稳定性、研发投入、战略

性投入及可持续的经营带来了有力的保障。

   3、趋势分析

    2019 年,公司归属于母公司股东的净利润为 179,376.42 万元,扣除非经常

性损益后归属于母公司股东的净利润为-52,209.54 万元,较 2018 年的-61,685.35


                                     310
中芯国际集成电路制造有限公司                                                       招股意向书


有所减少。2020 年以来市场需求持续增长,公司产品组合也不断优化。根据普

华永道出具的普华永道中天阅字(2020)第 0060 号《审阅报告》,公司 2020 年

第一季度的营业收入为 640,113.60 万元,同比增加 38.42%;毛利率由 2019 年第

一季度的 18.77%增长到 2020 年第一季度的 21.58%,同比增长 2.81 个百分点。

相应地,公司 2020 年第一季度扣除非经常性损益后归属于母公司股东的净利润

增长到 14,257.93 万元,实现盈利。

    4、风险因素

     报告期各期末,公司扣除政府补助等非经常性损益后归属于母公司股东的净

利润分别为 27,327.59 万元、-61,685.35 万元及-52,209.54 万元,其中 2018 年和

2019 年为负,主要系公司对先进和成熟工艺生产线的扩产及持续的研发投入。

公司所处的集成电路晶圆代工行业特点决定了公司对于设备和研发的投资较大,

而上述持续投入所带来的销售收入具有一定的滞后性,使得公司合并报表层面扣

除非经常性损益后归属于母公司股东的净利润短期内为负的情形。

    5、投资者保护措施及承诺

     公司重视对投资者的合理投资回报,保护投资者合法权益,制定持续、稳定、

科学的股利分配政策。根据 2020 年 6 月 1 日公司股东特别大会审议通过的

《Semiconductor Manufacturing International Corporation(中芯国际集成电路制造

有限公司)利润分配政策及首次公开公司民币普通股(A 股)并在上海证券交易

所科创板上市后三年分红回报计划》,对本次发行后的股利分配政策作出了相应

规定,包括股利分配形式、期间间隔、分配条件、决策程序与机制等。此外,扣

除非经常性损益后归属于母公司股东的净利润为负不会直接影响可用于分配的

金额。


十二、资产质量分析
                                                                                    单位:万元

                   2019 年 12 月 31 日           2018 年 12 月 31 日      2017 年 12 月 31 日
      项目
                    金额          占比            金额          占比       金额          占比
货币资金          3,726,847.27    32.46%     3,011,161.26       30.46%   1,791,639.09    22.99%




                                           311
中芯国际集成电路制造有限公司                                                             招股意向书


                     2019 年 12 月 31 日             2018 年 12 月 31 日        2017 年 12 月 31 日
        项目
                      金额          占比              金额          占比         金额          占比
交易性金融资产        30,005.54      0.26%            28,609.52      0.29%                -           -
以公允价值计量且
其变动计入当期损               -           -                  -            -     77,056.59      0.99%
益的金融资产
衍生金融资产                   -           -           1,772.96      0.02%        4,475.76      0.06%
应收票据              10,268.16      0.09%             6,624.86      0.07%        4,903.65      0.06%
应收账款             328,328.49      2.86%           296,680.44      3.00%      261,556.93      3.36%
预付款项              23,913.13      0.21%            21,833.24      0.22%       22,300.22      0.29%
其他应收款            55,458.04      0.48%           151,796.00      1.54%       45,792.02      0.59%
存货                 438,993.25      3.82%           444,364.94      4.50%      406,871.05      5.22%
持有待售资产            8,247.68     0.07%            10,622.31      0.11%       24,484.58      0.31%
一年内到期的非流
                        1,000.46     0.01%                    -            -              -           -
动资产
其他流动资产         174,608.46      1.52%           150,479.67      1.52%       84,669.97      1.09%
  流动资产合计      4,797,670.49    41.79%      4,123,945.19       41.72%      2,723,749.84   34.95%
可供出售金融资产               -           -                  -            -     16,233.34      0.21%
其他非流动金融资
                      62,871.40      0.55%            38,091.46      0.39%                -           -
产
长期股权投资         822,333.22      7.16%           776,343.70      7.85%      521,763.82      6.70%
使用权资产           166,557.03      1.45%                    -            -              -           -
固定资产            3,686,612.11    32.11%       3,119,536.24       31.56%     3,057,224.96    39.23%
在建工程            1,705,966.79    14.86%      1,599,218.95        16.18%     1,190,867.71    15.28%
无形资产             186,350.50      1.62%           177,198.70      1.79%      218,696.63      2.81%
商誉                           -           -           2,699.33      0.03%        2,569.93      0.03%
递延所得税资产        43,959.67      0.38%            30,419.47      0.31%       29,321.94      0.38%
其他非流动资产          9,385.12     0.08%            17,034.07      0.17%       32,177.37      0.41%
 非流动资产合计     6,684,035.84    58.21%      5,760,541.91       58.28%      5,068,855.71   65.05%
       资产总计    11,481,706.33   100.00%      9,884,487.10      100.00%      7,792,605.55   100.00%


       报告期各期末,公司资产总额分别为 7,792,605.55 万元、9,884,487.10 万元
及 11,481,706.33 万元。

       其中,公司流动资产占比分别为 34.95%、41.72%及 41.79%,主要包括货币
资金、应收账款、存货等,报告期内流动资产逐年增加,主要来源于货币资金的
增加。

       公司非流动资产占比分别为 65.05%、58.28%及 58.21%,主要包括长期股权
投资、固定资产、在建工程等,报告期内非流动资产逐年增加,主要来源于长期
股权投资、固定资产及在建工程的增加。

                                               312
中芯国际集成电路制造有限公司                                                      招股意向书


(一)货币资金
                                                                                  单位:万元
           项目           2019 年 12 月 31 日       2018 年 12 月 31 日    2017 年 12 月 31 日
库存现金                                   65.40                 81.46                  82.39
银行存款                         3,130,123.23             2,572,587.77           1,566,383.82
其他货币资金                       561,613.69               412,789.03             219,577.14
应收利息                            35,044.96                25,703.01               5,595.74
           合计                  3,726,847.27              3,011,161.26          1,791,639.09

    报告期各期末,公司货币资金分别为 1,791,639.09 万元、3,011,161.26 万元

及 3,726,847.27 万元。

    其中,2019 年末的其他货币资金为 561,613.69 万元,主要包括政府补助项

下受限资金 340,777.09 万元及因信用证及借款而质押的定期存款 211,236.59 万元。

    报告期内公司货币资金增加较多,除了经营活动外,还来自于吸收投资、借

款及发行债券等筹资活动,具体参见本节之“十五、现金流量分析”。

(二)应收账款
                                                                                  单位:万元
       项目          2019 年 12 月 31 日         2018 年 12 月 31 日      2017 年 12 月 31 日
应收账款余额                   330,537.29                 298,238.44               262,429.44
坏账准备                         2,208.81                   1,558.01                   872.52
应收账款净额                   328,328.49                 296,680.44               261,556.93

    报告期各期末,公司应收账款余额分别为 262,429.44 万元、298,238.44 万元
及 330,537.29 万元,呈不断增长趋势。

    公司给予客户的信用期一般在 30~90 日。公司报告期内的客户主要为境内外
知名的集成电路设计公司,信用水平较高,应收账款回款良好。报告期各期,公
司应收账款周转率分别为 7.16、8.25 及 7.05,表现出较好的应收账款管理能力和
资产周转能力。截至 2020 年 3 月末,2019 年末的应收账款余额中 293,744.02 万
元已收回,收回金额占比为 88.87%,不存在大额坏账风险。




                                           313
中芯国际集成电路制造有限公司                                                                  招股意向书

       1、账龄结构

                                                                                              单位:万元
                  2019 年 12 月 31 日               2018 年 12 月 31 日           2017 年 12 月 31 日
       项目
                     金额           比例             金额         比例             金额          比例
 6 个月以内       321,236.69       97.19%       296,852.47       99.54%          261,130.79      99.51%
6 个月至 1 年        8,085.50       2.45%             466.99       0.16%            253.89         0.10%
  1至2年              575.02        0.17%             350.20       0.12%            356.43         0.14%
  2至3年              164.02        0.05%             243.69       0.08%            483.15         0.18%
  3 年以上            476.07        0.14%             325.09       0.11%            205.18         0.08%
       合计       330,537.29      100.00%       298,238.44      100.00%          262,429.44     100.00%

       报告期各期末,公司应收账款中账龄在 6 个月以内的比例均在 97%以上,其
中,2017 年及 2018 年末应收账款中账龄在 6 个月以内的比例均达到了 99%以上,
长账龄的应收账款比例较低。2019 年末应收账款中账龄在 6 个月至 1 年的
8,085.50 万元主要为应收中芯绍兴的材料款项,公司已按照 5%对该部分款项计
提了坏账准备。

       2、应收账款坏账准备

                                                                                              单位:万元
       项目名称                 2019 年度                   2018 年度                  2017 年度
       期初余额                       1,558.01                      872.52                       1,034.30
       本期计提                            996.29                   545.89                        199.11
  本期收回/转回                            265.81                       22.76                     232.24
       本期核销                             46.30                         0.30                     12.39
 外币报表核算差异                          -33.38                   162.66                        -116.26
       期末余额                       2,208.81                     1,558.01                       872.52

       公司于每年末对应收账款进行坏账检查,具体方法参见本节之“六、主要会
计政策和会计估计”之“(八)金融工具”。

       3、应收账款前五名客户

                                                                                              单位:万元
         项目           2019 年 12 月 31 日            2018 年 12 月 31 日         2017 年 12 月 31 日
前五名应收账款合计                  186,784.27                  148,304.71                    188,588.82
占比                                   56.51%                       49.73%                       71.86%




                                                314
中芯国际集成电路制造有限公司                                                  招股意向书


    报告期各期末,公司前五名应收账款合计余额分别为 188,588.82 万元、

148,304.71 万元及 186,784.27 万元,占应收账款余额总额比例分别为 71.86%、

49.73%及 56.51%,较为集中。

(三)存货
                                                                              单位:万元
       期间             项目     账面余额      跌价准备      账面净值     占合计净额比例
                      原材料     128,359.78     4,385.17     123,974.61           28.24%
                      在产品     297,393.83    53,032.86     244,360.96           55.66%
2019 年 12 月 31 日
                      库存商品   108,856.25    38,198.57      70,657.68           16.10%
                        合计     534,609.86    95,616.61     438,993.25          100.00%
                      原材料     122,097.65     6,535.25     115,562.40           26.01%
                      在产品     297,162.73    48,821.32     248,341.42           55.89%
2018 年 12 月 31 日
                      库存商品    96,480.18    16,019.06      80,461.12           18.11%
                        合计     515,740.56    71,375.63     444,364.94          100.00%
                      原材料     103,567.36     5,832.78      97,734.58           24.02%
                      在产品     257,255.21    47,031.09     210,224.13           51.67%
2017 年 12 月 31 日
                      库存商品   109,793.51    10,881.17      98,912.34           24.31%
                        合计     470,616.08    63,745.03     406,871.05          100.00%

    报告期各期末,公司存货余额分别为 470,616.08 万元、515,740.56 万元及
534,609.86 万元,呈上升趋势。公司存货包括原材料、在产品及库存商品,其中
在产品占合计净额的比例较高,在 50%~60%之间。公司各期的存货周转率分别
为 4.42、4.16 及 3.95,略有下降,主要系公司工艺制程不断升级,光掩模层数相
应增加,晶圆生产周期有所延长。

    公司报告期内的存货跌价准备情况如下:

                                                                              单位:万元
     项目名称              2019 年度              2018 年度                2017 年度
     期初余额                    71,375.63                 63,745.03            35,238.13
     本期计提                    37,692.75                 25,390.64            32,047.14
     本期转回                    14,476.06                 21,990.44               370.56
     本期转销                            -                         -                     -
     其他减少                     1,874.27                         -                     -
 外币报表折算差额                 2,898.56                  4,230.39             -3,169.67
     期末余额                    95,616.61                 71,375.63            63,745.03
注:其他减少系拟出售 LFoundry70%股权所致


                                         315
  中芯国际集成电路制造有限公司                                                               招股意向书

         公司各期计提的存货跌价准备分别为 32,047.14 万元、25,390.64 万元及
  37,692.75 万元。具体方法参见本节之“六、主要会计政策和会计估计”之“(九)
  存货”。


  (四)长期股权投资
                                                                                             单位:万元
     项目名称          2019 年 12 月 31 日        2018 年 12 月 31 日             2017 年 12 月 31 日
  合营企业                          18,929.20                     10,766.59                     20,700.96
  联营企业                         803,404.01                    765,577.11                   501,062.87
         合计                      822,333.22                    776,343.70                   521,763.82

         报告期各期末,公司长期股权投资分别为 521,763.82 万元、776,343.70 万元
  及 822,333.22 万元,主要为对联营企业的投资。2018 年度新增了对中芯宁波、
  中芯绍兴的联营投资,使得 2018 年末长期股权投资有所增加。其中,中芯宁波
  由于股权转让由控股转为联营企业。

         公司对合营企业及联营企业投资的具体情况如下:

                                                                                               单位:万元
                项目                      2019 年 12 月 31 日     2018 年 12 月 31 日     2017 年 12 月 31 日
上海信芯投资中心(有限合伙)                        18,929.20                  8,958.51             17,682.96
上海诚芯投资中心(有限合伙)                                 -                 1,808.07              3,017.99
合营企业小计                                        18,929.20                 10,766.59             20,700.96
上海聚源聚芯集成电路产业股权投资基金
                                                    83,303.58                 55,127.64             33,938.79
中心(有限合伙)
上海聚源载兴投资中心(有限合伙)                    23,524.92                 14,007.42              9,614.17
苏州聚源东方投资基金中心(有限合伙)                10,556.55                  8,697.27              4,803.13
北京吾金创业投资中心(有限合伙)                        813.38                  820.49               2,590.44
中芯协成                                             2,383.66                  2,392.16              2,441.95
上海聚源启泰投资中心(有限合伙)                     3,417.43                  2,779.52                983.32
中芯聚源                                                960.52                  708.52                 615.57
上海创新                                                370.16                  472.94                      -
凸版彩晶                                            13,761.84                 14,031.62             14,548.47
灿芯半导体                                              891.33                  384.63               2,135.47
长电科技                                           416,711.56              408,173.24              356,363.85
芯鑫租赁                                            90,254.49                 86,466.10             73,027.73
中芯宁波                                            61,449.52                 70,758.35                     -
中芯绍兴                                            91,266.63                 96,639.28                     -
盛吉盛                                               3,738.44                  4,117.92                     -




                                                  316
  中芯国际集成电路制造有限公司                                                                招股意向书


                  项目                   2019 年 12 月 31 日         2018 年 12 月 31 日   2017 年 12 月 31 日
联营企业小计                                          803,404.01              765,577.11            501,062.87
                  合计                                822,333.22              776,343.70            521,763.82



  (五)使用权资产
                                                                                              单位:万元
                         项目                                           2019 年 12 月 31 日
  一、账面原值合计                                                                             234,991.40
  房屋及建筑物                                                                                    1,582.28
  机器设备                                                                                     233,409.12
  二、累计摊销合计                                                                               68,434.37
  房屋及建筑物                                                                                      267.43
  机器设备                                                                                       68,166.94
  三、账面价值合计                                                                             166,557.03
  房屋及建筑物                                                                                    1,314.85
  机器设备                                                                                     165,242.18

         公司 2019 年末使用权资产为 166,557.03 万元,包括房屋及建筑物、机器设

  备,其中机器设备是公司最主要的使用权资产。公司自 2019 年 1 月 1 日起适用

  新租赁准则,具体参见本节之“六、主要会计政策和会计估计”之“(二十五)

  重要会计政策变更”。

  (六)固定资产
                                                                                              单位:万元
           项目             2019 年 12 月 31 日         2018 年 12 月 31 日          2017 年 12 月 31 日
  一、账面原值合计                10,941,843.42                    9,632,906.36               8,795,035.51
  土地                                            -                    1,705.70                   1,623.93
  房屋及建筑物                       753,256.76                     627,411.00                 568,846.07
  机器设备                        10,074,031.20                    8,892,904.16               8,132,343.26
  办公设备                           114,555.45                     110,885.50                   92,222.25
  二、累计折旧合计                 7,210,874.30                    6,469,897.58               5,673,507.86
  土地                                            -                            -                           -
  房屋及建筑物                       179,627.30                     154,452.40                 123,369.70
  机器设备                         6,935,918.37                    6,230,322.30               5,482,513.16
  办公设备                            95,328.64                      85,122.88                   67,625.00
  三、减值准备合计                    44,357.00                      43,472.54                   64,302.70
  土地                                            -                            -                           -
  房屋及建筑物                                    -                            -                           -


                                                      317
中芯国际集成电路制造有限公司                                                          招股意向书


         项目          2019 年 12 月 31 日         2018 年 12 月 31 日       2017 年 12 月 31 日
机器设备                         44,357.00                   43,472.54                  64,302.70
办公设备                                     -                           -                         -
四、账面价值合计               3,686,612.11               3,119,536.24               3,057,224.96
土地                                         -                1,705.70                   1,623.93
房屋及建筑物                    573,629.47                  472,958.61                 445,476.37
机器设备                       3,093,755.83               2,619,109.31               2,585,527.41
办公设备                         19,226.81                   25,762.62                  24,597.25

       报告期各期末,公司固定资产原值分别为 8,795,035.51 万元、9,632,906.36

万元及 10,941,843.42 万元,呈稳定增长趋势,包括土地、房屋建筑物、机器设

备及办公设备。

       其中,各期末机器设备原值分别为 8,132,343.26 万元、8,892,904.16 万元及

10,074,031.20 万元。报告期内公司由于产能扩充使得机器设备原值逐年增加。机

器设备是公司最主要的固定资产,也是公司报告期内固定资产增加的主要原因。

       各 期 末 由于 闲 置 而计 提 的 机器 设 备 减值 准 备 分别 为 64,302.70 万 元 、

43,472.54 万元及 44,357.00 万元。另外,公司 2016 年通过合并 LFoundry 增加了

部分土地资产,后于 2019 年随 LFoundry 转让。

       报告期内,发行人主要生产线情况如下:

       重要子公司            产线情况              达到可使用状态的时间             成新率
                         1 条 12 英寸产线                  2007 年
        中芯上海                                                                          19.05%
                          1 条 8 英寸产线                  2002 年
        中芯北京         1 条 12 英寸产线                  2004 年                        14.45%
        中芯天津          1 条 8 英寸产线                  2004 年                        27.72%
        中芯深圳          1 条 8 英寸产线                  2014 年                        63.52%
        中芯北方         1 条 12 英寸产线                  2016 年                        70.79%
        中芯南方         1 条 12 英寸产线                     -                                    -
注:截至 2019 年末,中芯南方产线尚未达到转入固定资产条件

       上述生产线的核心设备包括刻蚀机、光刻机、薄膜沉积设备等。发行人主要

生产线成新率较低,主要系发行人固定资产价值较高,且使用寿命较长,符合晶

圆代工行业特点。发行人每年都对相应资产进行更新和维护,根据实际需要及时


                                                 318
中芯国际集成电路制造有限公司                                            招股意向书


替换、修复、添置零部件等,资金充足,能够保障相关资产的正常经营生产。

    报告期内,公司的资本性支出主要系产线设备更新维护等固定资产的支出。

报告期内,公司购建固定资产、无形资产和其他长期资产支付的现金分别为

1,584,443.86万元、1,160,292.93万元、1,272,275.49万元。公司未来可预见的重大

资本性支出主要为本次发行募集资金投资项目和产线设备投资等,未来实施后会

对公司的经营业绩产生一定的影响。

    2019年末,发行人与可比公司在产量、固定资产与当年营业收入比例的情况

如下:

可比上市公司     2019 年产能(千片/年)   2019 年末固定资产占 2019 年营业收入比率
    台积电                         27,967                                      1.26
    联华电子                        8,148                                      1.01
  华虹半导体                        2,204                                      1.67
  高塔半导体                            -                                      0.55
    华润微                          2,719                                      0.66
      平均                          8,607                                      1.03
  中芯国际                          5,482                                      1.67
注 1:按照约当 8 英寸核算统计
注 2:可比上市公司未披露承租的经营租赁性固定资产情况
资料来源:可比上市公司年度报告

    2019 年,发行人晶圆产能约为 5,482 千片/年,产能低于台积电和联华电子。

截至 2019 年末,发行人固定资产账面价值为 3,686,612.11 万元,租赁机器设备

相关的使用权资产账面价值为 165,242.18 万元,两者合计占当年营业收入的比例

为 1.75,高于其他可比上市公司,主要系发行人为缩短与全球最先进制程差距,

不断加大先进制程的研发投入,先进机器设备相关的固定资产金额较大,但仍处

于产量爬坡阶段,营业收入增长存在一定滞后。联华电子在全球晶圆代工的市场

份额和业务体量高于发行人,并于 2017 年实现 14nm FinFET 量产,业务发展较

为平稳,固定资产占营业收入比例相比发行人较低。

    公司主要固定资产折旧年限与可比上市公司不存在明显差异,具体情况如下:

   可比上市公司      房屋及建筑物(年)      机器设备(年)       办公设备(年)
      台积电                       10-20                  2-5                   3-5
     联华电子                      20-56                 5-11                   1-9
    华虹半导体                        25                 5-10                      5


                                       319
中芯国际集成电路制造有限公司                                                           招股意向书


   可比上市公司         房屋及建筑物(年)           机器设备(年)             办公设备(年)
       高塔半导体                      10-25                        3-15                      3-15
         华润微                           25                           8                         5
       中芯国际                           25                        5-10                       3-5
数据来源:可比上市公司年度报告。

(七)在建工程
                                                                                         单位:万元
             项目              2019 年 12 月 31 日      2018 年 12 月 31 日     2017 年 12 月 31 日
上海工厂扩建工程                     1,288,489.48               521,693.27               223,338.26
北京工厂扩建工程                       151,445.56               344,687.78               478,421.66
深圳工厂扩建工程                       146,974.22               376,461.78               385,325.92
天津工厂扩建工程                        85,247.04               316,845.06                84,186.17
江阴工厂扩建工程                        41,187.51                37,576.40                15,119.46
阿韦扎诺工厂扩建工程                                -             9,121.94                10,763.72
宁波工厂建设工程                                    -                      -               5,072.08
其他                                           1.71                  87.46                   128.35
         账面余额小计                1,713,345.52             1,606,473.69             1,202,355.62
减值准备:上海工厂扩建工程               6,823.88                 6,709.21                 7,383.01
减值准备:深圳工厂扩建工程                 554.85                   545.53                 4,104.89
         减值准备小计                    7,378.74                 7,254.74                11,487.90
         账面价值合计                1,705,966.79             1,599,218.95             1,190,867.71

       报 告 期 各 期 末 , 公 司 在 建 工 程 账 面 余 额 分 别 为 1,190,867.71 万 元 、

1,599,218.95 万元及 1,705,966.79 万元,主要为晶圆厂扩建工程。随着公司先进

制程工艺的投产,以及原有产能的扩大,每年均对生产设备有大量投入,使得各

期末在建工程账面余额逐年增加。

       报告期各期末的在建工程减值准备系公司因以前年度产能结构调整,对上海

工厂及深圳工厂的部分设备而计提。

(八)无形资产
                                                                                       单位:万元
         项目           2019 年 12 月 31 日         2018 年 12 月 31 日        2017 年 12 月 31 日
一、账面原值合计                  653,018.55                 607,408.23                 589,805.27
土地使用权                        112,341.86                   86,609.58                 76,474.54
软件                               62,837.02                   50,421.72                 42,926.02
专有技术使用权                    477,839.67                 470,376.93                 470,404.71
二、累计摊销合计                  454,261.40                 420,034.89                 366,798.09



                                              320
中芯国际集成电路制造有限公司                                                          招股意向书


         项目         2019 年 12 月 31 日           2018 年 12 月 31 日       2017 年 12 月 31 日
土地使用权                       15,826.37                    14,246.86                 12,781.30
软件                             40,673.32                    34,544.05                 29,068.51
专有技术使用权                  397,761.72                   371,243.98                324,948.29
三、减值准备合计                 12,406.64                    10,174.64                  4,310.55
土地使用权                                  -                             -                         -
软件                                        -                             -                         -
专有技术使用权                   12,406.64                    10,174.64                  4,310.55
四、账面价值合计                186,350.50                   177,198.70                218,696.63
土地使用权                       96,515.49                    72,362.72                 63,693.24
软件                             22,163.70                    15,877.67                 13,857.52
专有技术使用权                   67,671.31                    88,958.31                141,145.87

       报告期各期末,公司无形资产原值分别为 589,805.27 万元、607,408.23 万元

及 653,018.55 万元,呈上升趋势,包括土地使用权、软件及专有技术使用权,其

中专有技术使用权是公司最主要的无形资产。

       专有技术使用权主要组成是为了满足客户需求,由外部供应商提供的第三方

IP 技术解决方案。各期末由于平台建设升级以及客户需求调整,公司对部分不

再使用的第三方 IP 计提的减值准备分别为 4,310.55 万元、10,174.64 万元及

12,406.64 万元。

(九)商誉

       2017 年末、2018 年末商誉分别为 2,569.93 万元及 2,699.33 万元,系由公司

于 2016 年购买 LFoundry 70%股权所致。公司于 2019 年 7 月将子公司 SMIC HK

及其持有的 LFoundry 70%股权对外转让,截至 2019 年末公司商誉为零。

(十)资产周转能力分析

       1、应收账款周转率

       报告期内,公司与可比上市公司的应收账款周转率情况如下:

                                                                                     单位:次/年
  可比上市公司             2019 年度                    2018 年度                 2017 年度
        台积电                         8.00                         8.26                      7.84
       联华电子                        6.02                         6.75                      6.66


                                              321
中芯国际集成电路制造有限公司                                                             招股意向书


   可比上市公司             2019 年度                        2018 年度               2017 年度
    华虹半导体                             5.46                           6.43                     7.40
    高塔半导体                             8.80                           8.61                     9.54
      华润微                               8.11                           9.69                     7.90
       平均                                7.28                           7.95                     7.87
     中芯国际                              7.05                           8.25                     7.16
数据来源:可比上市公司定期报告。

     报告期各期,公司的应收账款周转率分别为 7.16、8.25 及 7.05,基本处于行

业平均水平。公司建立了客户信用管理制度,开发了客户授信管理系统,具备良

好的应收账款管理能力。同时,公司的客户大多为集成电路行业的境内外知名企

业,财务状况及信用水平较好,回款较为及时。

     2、存货周转率

     报告期内,公司与可比上市公司的存货周转率情况如下:

                                                                                         单位:次/年
   可比上市公司             2019 年度                        2018 年度               2017 年度
      台积电                               6.20                           6.02                     7.88
     联华电子                              6.36                           7.03                     6.93
    华虹半导体                             4.79                           5.05                     5.13
    高塔半导体                             5.53                           6.44                     7.36
      华润微                               3.96                           4.51                     5.77
       平均                                5.37                           5.81                     6.61
     中芯国际                              3.95                           4.16                     4.42
数据来源:可比上市公司定期报告。

     报告期各期,公司的存货周转率分别为 4.42、4.16 及 3.95,略低于行业平均

水平,主要原因系公司为满足客户持续追加的订单需求,采取了提前备货的方式。


十三、偿债能力分析
                                                                                              单位:万元
                     2019 年 12 月 31 日                2018 年 12 月 31 日      2017 年 12 月 31 日
      项目
                     金额          占比                  金额          占比       金额           占比
短期借款             69,811.69       1.60%              132,021.95      3.50%    201,943.87       5.95%
衍生金融负债          3,337.92       0.08%               10,847.86      0.29%       486.29        0.01%
应付账款           217,588.08        5.00%              218,502.27      5.79%    208,539.42       6.14%
预收款项               602.37        0.01%                1,208.13      0.03%     38,317.44       1.13%



                                                  322
中芯国际集成电路制造有限公司                                                               招股意向书


                      2019 年 12 月 31 日              2018 年 12 月 31 日        2017 年 12 月 31 日
      项目
                       金额         占比                金额          占比         金额             占比
合同负债              79,893.24       1.83%             42,643.38      1.13%                -              -
应付职工薪酬          57,382.54       1.32%             65,883.85      1.75%       93,613.64         2.76%
应交税费               4,339.81       0.10%              5,632.45      0.15%        5,646.54         0.17%
其他应付款           522,220.56      11.99%            488,014.72     12.93%      445,682.06        13.12%
一年内到期的非流
                     849,351.85      19.50%            763,379.61     20.23%      125,061.14         3.68%
动负债
其他流动负债         202,823.01       4.66%                     -            -              -              -
  流动负债合计      2,007,351.10    46.08%         1,728,134.22      45.79%      1,119,290.39      32.96%
长期借款            1,398,777.95     32.11%        1,239,669.27       32.85%     1,136,461.97       33.47%
应付债券             149,517.69       3.43%            287,288.18      7.61%      737,385.48        21.71%
租赁负债             116,630.90       2.68%                     -            -              -              -
长期应付款            13,079.43       0.30%             28,878.12      0.77%       40,652.32         1.20%
预计负债                       -            -              927.71      0.02%          988.04         0.03%
递延收益             603,681.48      13.86%            441,237.58     11.69%      322,486.89         9.50%
长期应付职工薪酬               -            -           18,170.53      0.48%       18,401.59         0.54%
递延所得税负债        23,985.17       0.55%             11,033.59      0.29%       10,723.82         0.32%
其他非流动负债        42,780.15       0.98%             18,865.24      0.50%        9,453.67         0.28%
 非流动负债合计     2,348,452.77    53.92%         2,046,070.23      54.21%      2,276,553.78      67.04%
    负债合计        4,355,803.86   100.00%         3,774,204.44     100.00%      3,395,844.17     100.00%


     报告期各期末,公司负债总额分别为 3,395,844.17 万元、3,774,204.44 万元

及 4,355,803.86 万元。

     其中,公司流动负债占比分别为 32.96%、45.79%及 46.08%,主要包括短期

借款、应付账款、其他应付款、一年内到期的非流动负债等。报告期内流动负债

占总负债的比例逐年增加,主要系一年内到期的非流动负债的增加。

     公司非流动负债占比分别为 67.04%、54.21%及 53.92%,主要包括长期借款、

应付债券、递延收益等,报告期内整体稳定。

(一)短期借款
                                                                                            单位:万元
             项目          2019 年 12 月 31 日           2018 年 12 月 31 日      2017 年 12 月 31 日
信用借款                               34,902.50                     66,909.48                  201,456.49
质押借款                               34,902.50                     65,000.00                             -
应付利息                                        6.69                    112.48                     487.37
             合计                      69,811.69                    132,021.95                  201,943.87


                                                323
中芯国际集成电路制造有限公司                                                                  招股意向书


     截至 2019 年 12 月 31 日,公司的短期借款如下:

                                                                                               单位:万元
                 银行                           余额                   借款期限                 借款性质
中国银行(香港)有限公司                        34,902.50        2019.12.30-2020.3.30           信用借款
中国工商银行股份有限公司                        34,902.50        2019.12.31-2020.2.19           质押借款
                 合计                           69,805.00


     截至本招股意向书签署日,上述短期借款均已偿还,相关质押已全部解除。

(二)应付账款
                                                                                               单位:万元
       项目              2019 年 12 月 31 日            2018 年 12 月 31 日          2017 年 12 月 31 日
应付材料采购款                    149,582.15                      118,518.63                   136,235.30
应付服务采购款                      68,005.93                      99,983.64                     72,304.12
       合计                       217,588.08                     218,502.27                    208,539.42

     报告期各期末,公司应付账款分别为 208,539.42 万元、218,502.27 万元及

217,588.08 万元,包括应付材料采购款和服务采购款,公司严格按照付款政策支

付采购款,整体应付账款余额与结构均较为稳定。

(三)其他应付款

     报告期各期末,公司其他应付款分别为 445,682.06 万元、488,014.72 万元及

522,220.56 万元,主要为应付资产采购款,各期末占比分别为 77.14%、74.01%

及 78.02%。

(四)一年内到期的非流动负债
                                                                                               单位:万元
          项目                2019 年 12 月 31 日          2018 年 12 月 31 日         2017 年 12 月 31 日
应付债券一年内到期部分                  440,070.28                   491,952.80                              -
长期借款一年内到期部分                  323,080.49                   237,666.60                   85,423.73
租赁负债一年内到期部分                   56,298.20                               -                           -
厂房购置长期应付款一年内
                                         12,486.33                    22,142.58                   26,545.57
到期部分
应付长电科技补偿款                        8,200.00                               -                           -
应付利息一年内到期部分                    7,207.61                     9,845.21                    8,145.51
专利购置费一年内到期部分                  2,008.94                       713.77                    3,924.47
融资租赁一年内到期部分                              -                  1,058.65                    1,021.85
          合计                          849,351.85                   763,379.61                  125,061.14



                                                324
中芯国际集成电路制造有限公司                                                  招股意向书


     报告期各期末,公司一年内到期的非流动负债分别为 125,061.14 万元、

763,379.61 万元及 849,351.85 万元,包括一年内到期的应付债券、长期借款、租

赁负债等。其中应付债券与长期借款一年内到期部分占比较大。

     2018 年末,应付债券一年内到期部分的余额为 491,952.80 万元,系 2019 年

到期的公司债券余额;2019 年末的余额为 440,070.28 万元,系 2022 年到期的可

换股债券余额。按照发行方案,投资者有权在 2020 年 7 月 7 日对该可换股债券

行使回售权,因此 2019 年末在一年内到期的非流动负债中列示。如果投资者未

在当日行使回售权,该笔债券将重新调整至非流动负债中的应付债券中列示。

     2019 年应付长电科技补偿款 8,200.00 万元,为芯电上海向长电科技转让其

持有的长电新科股权后,由于长电新科 2017 年度至 2019 年度的业绩未达到业绩

承诺的要求,应向长电科技支付的补偿款。

(五)长期借款
                                                                              单位:万元
           项目          2019 年 12 月 31 日    2018 年 12 月 31 日    2017 年 12 月 31 日
保证借款                          798,682.50             623,600.00             521,930.80
信用借款                          458,958.49             423,761.03             308,765.22
抵押借款                          329,217.45             345,581.88             324,284.80
质押借款                          135,000.00              84,392.95              66,904.89
           小计                 1,721,858.44           1,477,335.87           1,221,885.71
减:一年内到的长期借款            323,080.49             237,666.60              85,423.73
           合计                 1,398,777.95           1,239,669.27           1,136,461.97

     截至 2019 年 12 月 31 日,公司的长期借款具体情况如下:

               银行              余额(万元)               借款期限            借款性质
国家开发银行                           100,000.00     2015/11/24-2030/11/23     保证借款
国家开发银行                            38,500.00     2015/12/30-2025/12/29     保证借款
中国进出口银行                          43,000.00      2017/9/27-2022/9/27      保证借款
国家开发银行                           129,500.00      2016/5/26-2031/5/25      保证借款
中国进出口银行                          30,000.00     2018/12/25-2020/12/25     保证借款
国家开发银行                           215,572.50     2017/12/22-2024/12/21     保证借款
中国进出口银行                          44,000.00     2017/12/26-2022/12/24     保证借款
中国进出口银行                          34,000.00      2019/1/30-2021/1/30      保证借款
中国进出口银行                          24,500.00      2019/7/29-2024/6/21      保证借款



                                         325
中芯国际集成电路制造有限公司                                                         招股意向书


               银行              余额(万元)                借款期限                 借款性质
中国进出口银行                         139,610.00       2019/8/30-2024/8/30           保证借款
中国进出口银行                         100,000.00       2019/3/13-2021/3/13           信用借款
中国进出口银行                          50,000.00       2015/12/11-2020/6/11          信用借款
中国进出口银行                          95,000.00      2018/10/23-2020/10/22          信用借款
中国进出口银行                          29,600.00       2018/12/7-2023/12/6           信用借款
中国进出口银行                          28,900.00       2018/12/7-2023/12/6           信用借款
中国工商银行(亚洲)                   138,958.49       2019/8/21-2024/8/21           信用借款
国家开发银行                            16,500.00      2015/12/28-2030/12/27          信用借款
中国农业银行                            93,000.00       2016/4/22-2021/3/21           抵押借款
中国工商银行                            94,400.00       2016/4/29-2021/4/28           抵押借款
中国进出口银行                         120,064.60       2016/3/23-2021/3/22           抵押借款
中国农业银行                            14,808.78      2018/11/27-2023/11/24          抵押借款
中国农业银行                             6,944.08      2018/11/27-2023/11/24          抵押借款
中国进出口银行                          20,000.00       2018/6/11-2020/6/11           质押借款
中国进出口银行                          50,000.00       2017/2/24-2020/2/23           质押借款
中国进出口银行                          65,000.00       2019/4/18-2021/4/18           质押借款
               合计                  1,721,858.44


(六)应付债券
                                                                                     单位:万元
           项目        2019 年 12 月 31 日      2018 年 12 月 31 日      2017 年 12 月 31 日
应付债券                        589,587.97               779,240.98                  737,385.48
减:一年内到期的应付
                                440,070.28               491,952.80                              -
债券
           合计                 149,517.69               287,288.18                  737,385.48

     报告期各期末,公司应付债券分别为 737,385.48 万元、287,288.18 万元及

149,517.69 万元,主要包括境外美元可换股债券,美元公司债券,境内银行间市

场发行的中期票据等直接债务融资工具。随着公司过往发行债券陆续到期,应付

债券余额逐渐降低。

     截至 2019 年 12 月 31 日,公司的应付债券如下:

                                                                                     单位:万元
               项目                     金额                                  期限
4.5 亿美元可换股债券                            303,255.71            2016.7.7-2022.7.7
2 亿美元可换股债券                              136,814.58         2019.12.10-2022.7.7
15 亿人民币中期票据                             149,517.69            2019.3.4-2022.3.4
               合计                             589,587.97


                                        326
中芯国际集成电路制造有限公司                                                        招股意向书


    截至 2020 年 5 月 31 日,2016 年 7 月 7 日发行的 4.5 亿美元可换股债券和

2019 年 12 月 10 日发行的 2 亿美元可换股债券中合计已有 41,650.00 万美元本金

的部分完成换股。

(七)偿债指标

    1、偿债指标分析

    报告期内,公司与偿债能力相关的主要财务指标如下:

                                    2019 年度/            2018 年度/             2017 年度/
             项目
                                2019 年 12 月 31 日   2018 年 12 月 31 日    2017 年 12 月 31 日
流动比率                                      2.39                    2.39                  2.43
速动比率                                      2.17                    2.13                  2.07
资产负债率                                 37.94%                38.18%                 43.58%
息税折旧摊销前利润(万元)              964,801.66            767,573.12             769,988.64
EBITDA 利息保障倍数                          11.16                 13.32                  16.37

    从短期偿债能力看,报告期内,公司流动比率分别为 2.43、2.39 及 2.39,速
动比率分别为 2.07、2.13 及 2.17,短期变现和偿债能力较强。

    从长期偿债能力看,报告期内,公司资产负债率分别为 43.58%、38.18%及
37.94%,公司资本结构不断优化,负债率逐年降低。

    公司各期息税折旧摊销前利润分别为 769,988.64 万元、767,573.12 万元及
964,801.66 万元,EBITDA 利息保障倍数分别为 16.37、13.32 及 11.16。公司经
营业绩稳健,偿债能力良好。


    2、与同行业可比公司对比分析

    报告期内,公司与同行业可比公司偿债指标比较如下:

     期间           可比上市公司         流动比率          速动比率            资产负债率
                       台积电                      1.37            1.24                28.72%
                      联华电子                     2.11            1.81                44.60%
                     华虹半导体                    3.15            2.85                14.69%
    2019 年
                     高塔半导体                    4.30            3.54                30.20%
                       华润微                      2.57            2.04                36.70%
                        平均                       2.70            2.30               30.98%



                                             327
中芯国际集成电路制造有限公司                                                 招股意向书


     期间        可比上市公司      流动比率           速动比率           资产负债率
                      中芯国际              2.39            2.17                37.94%
                       台积电               2.67            2.38                20.52%
                      联华电子              2.83            2.46                43.35%
                  华虹半导体                5.38            4.99                12.15%
    2018 年       高塔半导体                4.85            4.01                30.94%
                       华润微               1.10            0.82                49.76%
                        平均                3.37            2.93                31.34%
                      中芯国际              2.39            2.13                38.18%
                       台积电               2.22            2.02                24.97%
                      联华电子              1.58            1.37                45.69%
                  华虹半导体                2.53            2.19                18.43%
    2017 年       高塔半导体                2.89            2.42                38.48%
                       华润微               1.91            1.50                54.13%
                        平均                2.23            1.90                36.34%
                      中芯国际              2.43            2.07                43.58%
数据来源:可比上市公司定期报告。

    2017 年度及 2019 年度公司流动比率、速动比率整体处于行业平均水平。2018

年公司流动比率、速动比率与台积电、联华电子及华润微处于同一水平。公司的

资产负债率与同行业可比公司存在一定差异,主要系各公司在发展阶段、资本结

构及资产规模等方面有所不同。报告期内,公司在保持经营状况稳步提升的同时,

综合运用各类金融工具调整与改善公司资本结构,使得公司的各项偿债指标得到

不断优化。


十四、股利分配情况

    报告期内,公司未进行过股利分配。


十五、现金流量分析

    报告期各期,公司现金流量基本情况如下:

                                                                             单位:万元
               项目                    2019 年度          2018 年度         2017 年度
经营活动产生的现金流量净额              813,999.25         520,990.89         776,907.50
投资活动产生的现金流量净额            -1,355,311.84      -2,059,479.58      -1,846,491.05
筹资活动产生的现金流量净额              828,650.36        1,618,935.07        849,058.43


                                      328
中芯国际集成电路制造有限公司                                           招股意向书


                 项目                2019 年度         2018 年度      2017 年度
汇率变动对现金的影响                       42,583.88     -48,728.17     -53,106.46
现金及现金等价物净增加额               329,921.66        31,718.22     -273,631.58

(一)经营活动现金流量
                                                                       单位:万元
                 项目                2019 年度         2018 年度      2017 年度
销售商品、提供劳务收到的现金         2,418,657.44      2,504,721.31   2,370,306.12
收到的税费返还                         124,833.03        95,943.26      102,351.45
收到其他与经营活动有关的现金           358,029.26       107,123.10      201,560.19
经营活动现金流入小计                 2,901,519.72      2,707,787.67   2,674,217.77
购买商品、接受劳务支付的现金         1,542,498.04      1,566,311.44   1,386,715.41
支付给职工以及为职工支付的现金         435,940.62       464,404.03      441,075.50
支付的各项税费                             16,588.46     28,791.33       14,126.48
支付其他与经营活动有关的现金               92,493.35    127,289.97       55,392.88
经营活动现金流出小计                 2,087,520.47      2,186,796.77   1,897,310.27
经营活动产生的现金流量净额             813,999.25       520,990.89      776,907.50

    报告期各期,公司经营活动产生的现金流量净额分别为 776,907.50 万元、
520,990.89 万元及 813,999.25 万元。其中,销售商品、提供劳务收到的现金分别
为 2,370,306.12 万元、2,504,721.31 万元及 2,418,657.44 万元,与营业收入基本匹
配,具体情况如下:

                                                                      单位:万元
                 项目                2019 年度         2018 年度      2017 年度
销售商品、提供劳务收到的现金         2,418,657.44      2,504,721.31   2,370,306.12
营业收入                             2,201,788.29      2,301,670.68   2,138,982.24
                 比例                      109.85%        108.82%         110.81%

    报告期各期,公司收到其他与经营活动有关的现金分别为 201,560.19 万元、
107,123.10 万元及 358,029.26 万元,主要为收到的政府补助,支付其他与经营活
动有关的现金分别为 55,392.88 万元、127,289.97 万元及 92,493.35 万元,主要为
支付的保证金。

    公司净利润与经营活动产生的现金流动净额差异主要系固定资产折旧,具体
情况如下:

                                                                      单位:万元
                 项目                2019 年度         2018 年度      2017 年度


                                     329
中芯国际集成电路制造有限公司                                             招股意向书


                 项目                 2019 年度         2018 年度       2017 年度
净利润                                 126,852.87          36,026.16       90,254.70
加:资产减值准备                           25,282.07        9,743.03       31,738.38
信用减值损失                                 1,114.90         575.38                 -
固定资产折旧                           681,868.79         656,684.31      607,887.79
使用权资产折旧                             67,508.45                -                -
无形资产摊销                               28,994.23       38,914.85       44,401.94
处置固定资产、无形资产和其他长期资
                                            -2,760.87     -21,433.77      -11,219.19
产的收益/(损失)
公允价值变动损益                           -19,450.94       -2,450.08       -3,924.81
投资损益                                   -84,693.82      27,043.91        -1,292.47
财务收益/(费用)                          -50,652.21       1,564.87       25,379.48
员工股权激励费用                            4,688.15        7,723.35       12,317.80
递延收益摊销                           -147,163.22        -74,507.46      -67,031.38
递延所得税资产及负债变动                   10,068.63          184.71        1,579.02
其他货币资金变动                       -112,776.92       -231,822.26      -28,351.05
存货的减少                                 -50,166.97     -45,044.78     -113,351.20
经营性应收项目变动                         -66,835.44     -81,988.52       65,460.78
经营性应付项目变动                     402,121.55         199,777.19      123,057.70
经营活动产生的现金流量净额             813,999.25         520,990.89      776,907.50

(二)投资活动现金流量
                                                                        单位:万元
                 项目                 2019 年度         2018 年度       2017 年度
收回投资所收到的现金                  2,250,751.76      2,329,193.71      127,611.12
取得投资收益收到的现金                     83,949.90       24,169.75        6,643.72
处置固定资产、无形资产和其他长期资
                                           52,528.84      254,383.71      469,820.57
产收回的现金净额
处置子公司收到的现金净额                   97,658.14                -                -
投资活动现金流入小计                  2,484,888.64      2,607,747.17      604,075.41
购建固定资产、无形资产和其他长期资
                                      1,272,275.49      1,160,292.93    1,584,443.86
产支付的现金
投资支付的现金                        2,565,756.83      3,503,524.58      866,122.60
丧失子公司控制支付的现金净额                2,168.17        3,409.25                 -
投资活动现金流出小计                  3,840,200.48      4,667,226.75    2,450,566.46
投资活动产生的现金流量净额           -1,355,311.84      -2,059,479.58   -1,846,491.05

    报告期各期,公司投资活动产生的现金流量净额分别为-1,846,491.05 万元、
-2,059,479.58 万元及-1,355,311.84 万元。

    公司投资活动现金流出较多,各期分别为 2,450,566.46 万元、4,667,226.75


                                     330
中芯国际集成电路制造有限公司                                             招股意向书

万元及 3,840,200.48 万元,包括购建固定资产、无形资产和其他长期资产支付的
现金,以及购买 3 个月以上定期存款及投资合营联营企业等投资支付的现金。

    公司各期投资活动现金流入分别为 604,075.41 万元、2,607,747.17 万元及
2,484,888.64 万元,包括收回 3 个月以上定期存款及合营联营企业等收回投资所
收到的现金,以及处置固定资产、无形资产和其他长期资产收回的现金。


(三)筹资活动现金流量
                                                                         单位:万元
               项目                     2019 年度         2018 年度      2017 年度
吸收投资收到的现金                        795,387.08      1,117,609.92    410,194.07
其中:子公司吸收少数股东投资收到的
                                          795,387.08      1,011,930.58    194,306.04
现金
取得借款收到的现金                        915,827.86       603,879.67     845,231.93
发行债券收到的现金                        811,694.72       331,592.00      42,392.57
收到其他与筹资活动有关的现金                   4,874.40     20,263.47      24,274.34
筹资活动现金流入小计                    2,527,784.05      2,073,345.07   1,322,092.91
偿还债务支付的现金                      1,541,336.48       377,696.77     418,239.00
分配股利、利润或偿付利息支付的现金            77,436.47     63,012.47      54,795.48
支付其他与筹资活动有关的现金                  80,360.75     13,700.76                 -
筹资活动现金流出小计                    1,699,133.69       454,410.00     473,034.48
筹资活动产生的现金流量净额                828,650.36      1,618,935.07    849,058.43

    报告期各期,公司筹资活动产生的现金流量净额分别为 849,058.43 万元、

1,618,935.07 万元及 828,650.36 万元。

    公司筹资活动现金流入较多,各期分别为 1,322,092.91 万元、2,073,345.07

万元及 2,527,784.05 万元,包括吸收投资、取得借款以及发行债券收到的现金。

收到其他与筹资活动有关的现金包括员工行权所产生的现金流入以及当年度衍

生金融工具交割所产生的现金净流入。

    公司各期筹资活动现金流出分别为 473,034.48 万元、454,410.00 万元及

1,699,133.69 万元主要为偿还债务支付的现金。支付其他与筹资活动有关的现金

包括支付租金所产生的现金流出、当年度衍生金融工具交割所产生的现金净流出

以及股票回购所需支付的现金。




                                        331
中芯国际集成电路制造有限公司                                  招股意向书


十六、持续经营能力分析

    公司是全球领先的集成电路晶圆代工企业之一,也是中国大陆技术最先进、
规模最大、配套服务最完善、跨国经营的专业晶圆代工企业,主要为客户提供
0.35 微米至 14 纳米多种技术节点、不同工艺平台的集成电路晶圆代工及配套服
务。

    报告期内,公司持续拓展成熟工艺和特色工艺的产品组合和应用范围,重点
布局摄像头、电源管理、特殊记忆体、指纹识别、蓝牙等产品技术平台,持续保
持其各细分领域的产业优势,与国内外集成电路行业知名客户建立战略伙伴关系,
不断扩大其在半导体业务领域市场份额。同时,公司继续加大先进制程工艺投入,
相继实现了 28 纳米 HKC+工艺及 14 纳米 FinFET 工艺的研发和量产,第二代
FinFET 技术平台已进入客户导入阶段。在此基础上,公司逐步扩大其先进技术
结点应用组合,包括高端消费品、高速运算、媒体应用、应用处理器、人工智能
及汽车电子。

    公司最近两年扣除非经常性损益后归属于母公司股东的净利润为负主要系
公司致力于缩小先进工艺制程上与国际领先公司的差距,不断加大先进制程研发
投入,资本开支提前于公司收入的放量增长。此外,2018 年下半年起,半导体
行业景气度下降、市场需求结构改变等行业周期性波动因素,也对公司生产经营
造成一定影响。

    公司未来将长期坚持市场化和国际化的机制,致力于境内外市场和客户的拓
展、逻辑及差异化特色工艺技术平台的开发、客户服务的提升、生产运营的优化
等,不断强化自身竞争力,为更多的境内外客户提供更加优质、创新、值得信赖
的集成电路晶圆代工及配套服务。

    报告期以及可预见未来,公司经营模式不会发生重大变化,主要产品结构不
会发生重大不利调整,公司在持续经营能力方面不存在重大不利变化或风险因素。
公司将以本次发行新股并在 A 股上市为契机,以公司发展战略为导向,通过募
集资金投资项目的实施,巩固和增强公司在集成电路行业的优势地位,帮助公司
提高市场竞争力,赢得更多的市场份额,增加新的利润增长点,持续提升公司价
值并实现投资者利益最大化。

                                  332
中芯国际集成电路制造有限公司                                    招股意向书


十七、资本性支出分析

(一)报告期内重大资本性支出

    报告期各期,公司购建固定资产、无形资产和其他长期资产支付的现金分别

为 1,584,443.86 万元、1,160,292.93 万元及 1,272,275.49 万元。

    报告期各期,公司研发投入分别为 357,607.78 万元、447,090.01 万元及

474,445.66 万元,占营业收入的比例分别为 16.72%、19.42%及 21.55%。

(二)未来可预见的资本性支出计划

    公司未来可预见的重大资本性支出参见本招股意向书“第九节 募集资金运

用”及本节之“十八、资产负债表日后事项、或有事项及其他重要事项”之“(三)

承诺事项”。此外,公司也将持续进行其他长期资产购建及研发投入。


十八、资产负债表日后事项、或有事项及其他重要事项

(一)资产负债表日后事项

    2020 年 2 月 27 日,公司发行 6 亿美元五年期无抵押企业债券,募集资金净
额约为 5.964 亿美元。

    2020 年初,新型冠状病毒疫情爆发,致使全球多数国家和地区遭受了不同
程度的影响。为应对疫情,公司制定有效的疫情应急防控计划,实施各项防护措
施,确保在抗击疫情的同时安全生产,本次疫情对公司 2020 年的整体运营及财
务表现影响有限。

    除上述事项外,截至本招股意向书签署日,公司不存在其他需要披露的资产
负债表日后事项。


(二)或有事项

    截至本招股意向书签署日,公司的或有事项包括 2 起未决诉讼,具体情况参
见本招股意向书“第十一节 其他重要事项”之“三、重大诉讼或仲裁事项、重
大违法行为”。


                                     333
中芯国际集成电路制造有限公司                                                     招股意向书

    由于上述诉讼导致公司经济利益流出的可能性较小,于报告期末,公司未对
上述诉讼计提预计负债。


(三)承诺事项

    1、资本性支出承诺事项

    公司已签约而尚不必在资产负债表上列示的资本性支出具体内容如下:

                                                                                 单位:万元
       项目          2019 年 12 月 31 日         2018 年 12 月 31 日     2017 年 12 月 31 日
房屋、建筑物及机器
                             1,237,014.50                1,058,679.73             627,675.02
设备
无形资产                         5,240.54                    3,934.18                3,656.82
       合计                  1,242,255.04                1,062,613.91             631,331.84

    2、经营租赁承诺事项

    根据已签订的不可撤销的经营性租赁合同,公司未来最低应支付租金汇总如下:

                                                                                 单位:万元
       项目          2019 年 12 月 31 日         2018 年 12 月 31 日     2017 年 12 月 31 日
一年以内                                   -               83,448.49               59,579.24
一年至两年                                 -               53,677.95               58,268.71
二至三年                                   -               48,278.39               30,334.11
三年以上                                   -               56,550.19               44,488.51
       合计                                -              241,955.02              192,670.58
注:根据新租赁准则,2019 年开始经营性租赁合同通过“使用权资产”科目列示


十九、境内外信息披露差异

    公司作为香港联交所上市公司,按照国际财务报告准则编制财务报表。公司
按企业会计准则编制的财务报表和按照国际财务报告准则编制的财务报表之间
的差异项目及金额如下:

                                                                                   单位:元
           净利润              2019 年度                2018 年度             2017 年度
国际会计准则                1,097,088,771.85            512,479,412.69        878,956,394.82
差异调整(递延一季度按
                               171,439,907.64          -152,217,832.98         23,590,609.34
权益法确认投资损益)
按企业会计准则              1,268,528,679.49            360,261,579.71        902,547,004.16



                                           334
中芯国际集成电路制造有限公司                                                      招股意向书


           净资产              2019 年度               2018 年度                2017 年度
国际会计准则              71,177,986,375.75         61,239,820,355.72       43,911,480,556.57
差异调整(递延一季度按
                                81,038,264.48          -136,993,782.70           56,133,224.27
权益法确认投资损益)
按企业会计准则            71,259,024,640.23         61,102,826,573.02       43,967,613,780.84

    公司按照国际财务报告准则编制的合并财务报表发布时间早于部分联营企

业财务数据发布时间,因此在国际财务报告准则下,公司采用了递延一个季度按

权益法确认投资损益,按照应享有或应分担的被投资单位实现的净损益和其他综

合收益的份额,以被投资方上一季度的财务数据为基准,分别确认投资收益和其

他综合收益,同时调整长期股权投资的账面价值。在企业会计准则下,被投资单

位采用的会计政策及会计期间与投资方不一致的,应当按照投资方的会计政策及

会计期间对被投资单位的财务报表进行调整,并据以确认投资收益和其他综合收

益等。因此,按照企业会计准则编制的合并财务报表应根据被投资单位相同会计

期间的财务数据确认权益法投资损益。


二十、财务报告审计截止日至招股意向书签署日公司主要经营情况

(一)2020 年第一季度主要经营情况

    公司财务报告审计截止日为 2019 年 12 月 31 日,根据《关于首次公开发行

股票并上市公司招股说明书财务报告审计截止日后主要财务信息及经营状况信

息披露指引》,普华永道对公司 2020 年 3 月 31 日的合并资产负债表、截至 2020

年 3 月 31 日止 3 个月期间的合并利润表、合并现金流量表和合并股东权益变动

表以及相关财务报表附注进行了审阅。公司的主要财务数据(未经审计,但已经

审阅)如下:

                                                                                  单位:万元
                                2020 年 1~3 月/           2019 年 1~3 月/
             项目                                                                 变动幅度
                               2020 年 3 月 31 日       2019 年 12 月 31 日
资产总额                            12,565,571.87             11,481,706.33             9.44%
股东权益总额                         7,238,543.38              7,125,902.46             1.58%
归属于母公司股东权益                 4,453,352.03              4,357,335.43             2.20%
营业收入                               640,113.60                  462,456.36          38.42%
毛利率                                       21.58%                   18.77%            2.81%
营业利润                                   44,871.42                33,300.57          34.75%

                                           335
中芯国际集成电路制造有限公司                                              招股意向书


                                2020 年 1~3 月/      2019 年 1~3 月/
           项目                                                           变动幅度
                               2020 年 3 月 31 日   2019 年 12 月 31 日
利润总额                                44,868.87             33,379.45      34.42%
净利润                                  34,796.82             29,850.81      16.57%
归属于母公司股东的净利润                43,663.17             21,677.25     101.42%
扣除非经常性损益后归属于母
                                        14,257.93            -32,897.75              --
公司股东的净利润
经营活动产生的现金流量净额             153,189.52             60,829.35     151.83%

    2020 年第一季度,公司营业收入为 640,113.60 万元,同比增加 38.42%,主
要来自于晶圆销量的增加。公司的月产能(约当 8 英寸)由 2019 年第一季度的
466,575 片增加到 2020 年第一季度的 476,000 片,主要系中芯北方晶圆厂的产能
增加。公司产能利用率也从 2019 年第一季度的 89.17%增加 2020 年第一季度的
98.52%。产能和产能利用率的共同增加使得公司晶圆销量(约当 8 英寸)从 2019
年第一季度的 1,089,502 片增加到 2020 年第一季度的 1,406,714 片,同比增加
29.12%。

    受益于市场需求的增长及公司产品组合的优化,发行人成熟工艺平台产能进
一步提升,同时发行人先进工艺研发业务进展顺利,经营业绩取得大幅增长。公
司毛利率由 2019 年第一季度的 18.77%增长到 2020 年第一季度的 21.58%,同比
增长 2.81 个百分点。公司净利水平和经营性现金流也有较大增幅。2020 年第一
季度的扣除非经常性损益后归属于母公司股东的净利润为 14,257.93 万元,较
2019 年一季度增长 47,155.68 万元;2020 年第一季度的经营活动产生的现金流量
净额为 153,189.52 万元,同比增加 151.83%。

    财务报告审计截止日至招股意向书签署日,公司的整体经营环境未发生较大
变化,经营状况良好,经营模式未发生重大变化。财务报告审计截止日后,公司
的主要原材料采购、技术研发、生产及销售等业务运转正常,不存在将导致公司
业绩异常波动的重大不利因素。


(二)2020 年上半年度主要经营情况预测

    公司于 2020 年 5 月 13 日在香港联交所公告了依据国际财务报告准则编制的
《中芯国际截至 2020 年 3 月 31 日止三个月未经审核业绩公布》,预测 2020 年第
二季度收入环比增加 3%至 5%,毛利率介于 26%至 28%的范围内,主要系公司


                                         336
中芯国际集成电路制造有限公司                     招股意向书

产能、产量增加带来的规模效应及产品组合的优化。




                                 337
中芯国际集成电路制造有限公司                                         招股意向书


               第九节 募集资金运用与未来发展规划

一、募集资金运用概况

(一)募集资金计划及投资项目

      2020 年 6 月 1 日,公司召开的股东特别大会审议通过了《有关人民币股份
发行及特别授权之决议案》及《有关人民币股份发行募集资金的用途之决议案》,
公司拟向社会公开发行不超过 168,562.00 万股人民币普通股(行使超额配售选择
权之前),实际募集资金扣除发行费用后的净额计划投入以下项目:

                                                                     单位:万元
 序号                项目名称               募集资金投资额      拟投入资金比例
  1      12 英寸芯片 SN1 项目                      800,000.00           40.00%
  2      先进及成熟工艺研发项目储备资金            400,000.00           20.00%
  3      补充流动资金                              800,000.00           40.00%
                   合计                          2,000,000.00          100.00%

      本次募集资金投资项目符合国家有关产业政策和公司发展战略,有助于进一
步拓宽公司主营业务,扩大先进工艺产能规模,提升公司在晶圆代工行业的市场
地位和核心竞争力;同时,募投项目的顺利实施将进一步增强公司的研发实力,
推动工艺技术水平升级换代与新产品推广,丰富成熟工艺技术平台,更好地满足
未来市场需求。

      如果募集资金超过上述投资项目的总额,公司将按照有关规定履行必要的程
序后将超募资金用于公司主营业务。如果本次发行募集资金不足,公司将通过自
筹资金解决募投项目资金缺口。

      本次发行公司可能因主承销商行使超额配售选择权而增发股份,获得的超额
配售募集资金将用于各子公司集成电路生产线建设及适用法律法规和证券监管
部门允许的其他用途。

      本次募集资金到位之前,公司可以根据项目进展情况使用自筹资金先行投入。
募集资金到位后,公司将首先置换前期投入的自筹资金,剩余款项按照募集资金
使用的相关规定用于募投项目的后续建设。



                                      338
中芯国际集成电路制造有限公司                                  招股意向书


(二)募集资金使用的合规性说明

    1、募集资金使用管理方式

    2020 年 4 月 30 日,公司董事会审议通过了《中芯国际集成电路制造有限公
司募集资金管理制度》,主要内容如下:


    (1)募集资金专户储存

    公司募集资金将存放于专项账户集中管理。专户不得存放非募集资金或用作
其它用途。公司将在募集资金到账后一个月内与保荐机构、存放募集资金的商业
银行签订募集资金专户存储三方监管协议。


    (2)募集资金使用

    公司将按照发行申请文件中承诺的募集资金投资计划使用募集资金。出现严
重影响募集资金投资计划正常进行的情形时,公司将及时报告交易所并进行公告。
募集资金的具体使用将严格按照公司有关规定执行。


    (3)募集资金投向变更

    公司将按照招股意向书所列用途审慎使用募集资金。未经法定程序,不随意
改变募集资金投向。公司募投项目变更须经董事会审议通过,且经保荐机构发表
明确意见后执行。


    (4)募集资金管理与监督

    公司将真实、准确、完整地披露募集资金的实际使用情况。募集资金使用完
毕前,董事会将每半年度全面核查募投项目的进展情况,对募集资金的存放与使
用情况出具《公司募集资金存放与实际使用情况的专项报告》。

    2、募集资金投资项目实施后对公司独立性的影响

    公司本次募集资金数额和投资项目与现有主营业务、生产经营规模、财务状
况、技术条件、管理能力、发展目标等相适应。投资项目具有良好的市场前景和
盈利能力,具有较强的可行性。相关项目实施后不新增同业竞争,不会对公司的
独立性产生不利影响。


                                  339
中芯国际集成电路制造有限公司                                  招股意向书


(三)募集资金投资项目与发行人现有主要业务、核心技术之间的关系

    本次计划实施的募集资金投资项目均是围绕公司主营业务进行的,主要目标
是扩大公司现有产能,完善公司产品结构,提升公司研发和竞争能力。

    1、“12 英寸芯片 SN1 项目”是公司第一条 FinFET 工艺生产线,是公司先
进工艺量产的重要基地和先进工艺技术研发的重要载体。该项目的实施将进一步
提升公司先进工艺产能规模,丰富产品结构,增强主营业务竞争能力和市场影响
力。

    2、“先进及成熟工艺研发项目储备资金”用于满足公司先进工艺与成熟工艺
的技术平台以及特色工艺技术平台的研发需求,在不断提升公司工艺技术等级的
同时进一步丰富公司成熟工艺技术平台,增强公司适应市场变化的能力,为公司
主营业务扩张和市场竞争能力的提升提供有力支撑。

    3、“补充营运资金”符合公司所处重资产行业的行业特征与公司稳健发展的
经营方针,满足公司产能扩张对营运资金的需求,有利于优化资本结构、降低财
务杠杆、提高偿债能力,奠定公司长期稳定发展的财务基础。

    本次募投项目的实施有利于公司产能升级、研发能力提升和资本结构优化,
将有效提升公司的核心竞争能力,促进公司主营业务的持续稳定发展。


(四)募集资金重点投向科技创新领域的具体安排

    在集成电路晶圆代工领域内,全球范围内有技术能力提供 14 纳米技术节点
的纯晶圆代工厂有 4 家,而目前有实际营收的纯晶圆代工厂仅剩 3 家。

    根据各公司的公开信息整理,台积电于 2015 年实现 16 纳米制程晶圆代工的
量产,格罗方德于 2015 年实现 14 纳米制程晶圆代工的量产,联华电子于 2017
年实现 14 纳米制程晶圆代工的量产。公司 14 纳米制程的集成电路晶圆代工业务
于 2019 年开始量产。

    由于公司 14 纳米晶圆代工产能初步开始布建,因此占全球市场的份额相对
较低。公司将稳健、有计划地加大对先进工艺的研发投入,并通过“12 英寸芯
片 SN1 项目”的建设,不断提高公司先进工艺集成电路晶圆代工的服务能力与



                                  340
中芯国际集成电路制造有限公司                                   招股意向书

竞争水平,扩大公司在国际先进技术节点领域的市场占有率,进一步保持并提升
公司在中国大陆集成电路晶圆代工领域内的技术优势与市场优势。

    晶圆代工行业的客户对代工厂的选择通常从多维度考量,包括产品功耗、速
度、质量、生产周期、良率、价格以及供应链安全及多元化等方面。公司 14 纳
米 FinFET 工艺将主要服务于应用处理器、媒体处理器等产品的集成电路晶圆代
工,应用于高性能低功耗边缘计算及消费电子产品领域,例如智能手机、平板电
脑、电视、机顶盒和互联网等。目前,公司第一代 14 纳米 FinFET 技术已进入
量产阶段,14 纳米 FinFET 技术处于国际领先水平,且产品具备一定性价比,目
前已同众多客户开展合作。未来,公司将持续基于 14 纳米 FinFET 领先技术,
结合国际化及全产业链布局等综合竞争优势更好地服务客户。

    发行人本次募投项目主要包括:“12 英寸芯片 SN1 项目”与“先进及成熟工
艺研发项目储备资金”。其中,“12 英寸芯片 SN1 项目”是中国大陆第一条 14
纳米及以下先进工艺生产线,本次募集资金将用于工艺技术水平为 14 纳米及以
下工艺的产线建设;同时,14 纳米及以下先进工艺技术研发是公司“先进及成
熟工艺研发项目储备资金”的重要投入方向。公司募投项目的实施有助于进一步
增强技术实力、丰富产品组合、扩大产能规模,进而全面提升公司在多种技术节
点、多个工艺平台的集成电路晶圆代工能力,以更好满足客户和市场的需求。

    目前,中国大陆尚无企业具备 14 纳米以下先进工艺的量产能力。公司作为
中国大陆第一家实现 14 纳米量产的集成电路晶圆代工企业,具备 14 纳米以下先
进工艺研发所需要的技术基础与资金实力。与第一代 FinFET 技术中的 14nm 相
比较,公司预计第二代 FinFET 技术有望在性能上提高约 20%,功耗降低约 60%。
14 纳米及以下先进工艺主要应用于 5G、人工智能、智能驾驶、高速运算等新兴
领域,未来发展前景广阔。随着相关应用领域持续发展,先进工艺的市场需求将
持续上升,市场份额将不断扩大,成为集成电路晶圆代工市场新的增长点。

    公司将稳健、有计划地根据市场情况推进先进制程工艺的研发与产能布建,
预计公司先进制程的产品质量与服务能力将具有一定的市场竞争力。

    本次募集资金将重点投向科技创新领域,具体安排如下:




                                  341
中芯国际集成电路制造有限公司                                     招股意向书


    1、12 英寸芯片 SN1 项目

    为满足国内外市场对先进工艺芯片的需求,提升中国大陆集成电路晶圆代工
领域的工艺技术水平,公司规划并启动了“12 英寸芯片 SN1 项目”。

    “12 英寸芯片 SN1 项目”的载体为中芯南方,该项目规划月产能 3.5 万片,
已建设月产能 6,000 片,是中国大陆第一条 FinFET 工艺生产线,也是中芯国际
14 纳米及以下先进工艺研发和量产的主要承载平台。


    本项目的实施将大幅提升中国大陆集成电路晶圆代工的工艺技术水平,提升

公司对全球客户高端芯片制造的服务能力,并进一步带动中国大陆集成电路产业

的发展。

    2、先进及成熟工艺研发项目储备资金

    公司先进及成熟工艺研发项目储备资金计划用于 14 纳米及以下的先进工艺
与 28 纳米及以上的成熟工艺技术研发。14 纳米是中国大陆已量产、最先进的集
成电路晶圆代工工艺,14 纳米以下工艺目前在中国大陆尚处于研发阶段,继续
完善 14 纳米工艺并开展 14 纳米以下工艺技术研发,对于进一步保持并提升公司
在中国大陆集成电路晶圆代工领域的技术领先优势具有重要意义;28 纳米及以
上的成熟工艺研发主要用于丰富公司成熟工艺的产品组合,满足市场不断变化的
成熟工艺产品需求,有利于增强公司适应市场变化的能力,进一步巩固并提升公
司在成熟工艺集成电路晶圆代工领域的市场竞争力。

    公司拟通过募集资金使用,推动先进工艺产能建设,实现公司工艺技术水平
的提升,进一步培养集成电路晶圆代工领域的优秀研发人才,对公司现有核心技
术、主要产品以及战略规划中拟研发的新技术、新产品进行长期深入的研究和开
发。上述项目投向均属于科技创新领域。


二、募集资金投资项目介绍

(一)12 英寸芯片 SN1 项目

    1、项目基本情况



                                  342
中芯国际集成电路制造有限公司                                    招股意向书

    募集资金净额中的 80.00 亿元人民币将用于 12 英寸芯片 SN1 项目。本项目
的实施主体为中芯南方。中芯南方的具体情况参见本招股意向书“第五节 发行
人基本情况”之“七、公司控股子公司及参股公司情况”之“(一)公司控股子
公司基本情况”)。

    “12 英寸芯片 SN1 项目”总投资 90.59 亿美元,规划月产能 3.5 万片,工艺
技术水平为 14 纳米及以下。目前已建成月产能 6,000 片,募集资金主要用于满
足将该生产线的月产能扩充到 3.5 万片的部分资金需求。


    2、项目的必要性及可行性

    (1)项目的必要性

    ①先进工艺应用市场需求旺盛,是行业未来新的增长点

    集成电路产业是按“摩尔定律”快速进步的产业,终端应用的不断升级和多
样化推动着工艺技术的持续进步。先进技术节点是未来集成电路晶圆代工行业发
展的主要驱动力。14 纳米及以下先进工艺主要应用于 5G、人工智能、智能驾驶、
高速运算等新兴领域,未来发展前景广阔。随着相关应用领域持续发展,先进工
艺的市场需求将持续上升,市场份额将不断扩大,成为集成电路晶圆代工市场新
的增长点。

    据 IHS Markit 预测,全球集成电路晶圆代工行业市场规模中先进工艺占比将
逐年增高,具体如下图所示:

                                                                单位:亿美元




   数据来源:IHS Markit

                                   343
中芯国际集成电路制造有限公司                                   招股意向书

    ②目前产能具备持续增长的空间

    目前,中国大陆尚无企业具备 14 纳米以下先进工艺的量产能力,而“12 英
寸芯片 SN1 项目”是中国大陆第一条 14 纳米及以下先进工艺生产线,当前月产
能为 6,000 片。公司将进一步提升先进制程的产品质量与服务能力,同时根据客
户需求稳步提升产能,抓住下游旺盛的市场需求机遇。公司未来势必需要顺应市
场趋势,通过扩建先进工艺产能,来满足日益增长的下游客户需求。

    “12 英寸芯片 SN1 项目”的建设,对不断提高公司先进制程集成电路晶圆
代工能力,扩大公司在国际先进制程领域的市场占有率,进一步保持并提升公司
在中国大陆集成电路晶圆代工领域的技术和市场领先优势具有重要意义。


    (2)项目的可行性

    ①技术方面

    在先进制程领域,公司代表中国大陆自主研发集成电路制造技术的最先进水
平,是中国大陆第一家实现 14 纳米 FinFET 量产的集成电路晶圆代工企业。公
司“12 英寸芯片 SN1 项目”目前月产能已达到 6,000 片。

    公司已连续多年投入 FinFET 工艺技术研发,目前公司第一代 14 纳米 FinFET
技术已进入量产阶段,第二代 FinFET 技术平台已进入客户导入阶段,并同步研
发下一代先进工艺技术。

    ②客户资源方面

    公司作为中国大陆规模最大、技术最先进的集成电路晶圆代工企业,依靠卓
越的研发制造能力、完善的配套服务能力、深耕市场的实践经验,形成明显的品
牌效应,获得了良好的行业认知度,拥有了境内外广泛的客户积累优势,树立了
境内外领先的行业地位。

    相对于国内外竞争对手,公司已与境内外领先芯片设计厂商建立了长期稳定
的合作关系,使公司能够掌握行业、产品最新技术动态,及时了解和把握客户最
新需求,准确地进行产品更新升级,确保公司产品在市场竞争中保持领先优势,
同时积累产品行业应用经验,完善产品性能,提高产品质量水平。



                                   344
中芯国际集成电路制造有限公司                                      招股意向书

    ③研发能力


    经过多年发展,公司建立了科学、规范、成熟的研发管理模式,具备专业的

研发团队,建立了完善的研发流程和先进的研发支撑体系,为先进技术研发提供

有效保障。

    3、项目投资概算

    本项目预计总投资 905,900 万美元,具体情况如下:

          名称                 金额(万美元)              比例
生产设备购置及安装费                       733,016.00                80.92%
建筑安装工程费                              72,901.00                 8.05%
工程建设其他费用                            94,983.00                10.48%
预备费                                       5,000.00                 0.55%
          合计                             905,900.00                  100%

    4、项目时间周期和时间进度

    本项目建设期为 7 年,将根据工艺技术研发进展和市场需求的变化逐步实施。


    5、项目选址及土地情况

    本项目不新征用地,在公司产权证书编号为沪房地浦字(2015)第 104816
号土地内西侧预留用地进行建设。本项目建成后东侧为中芯上海已建建筑;南侧
为郭守敬路;西侧为哈雷路;北侧为龙东大道。


    6、环境保护

    本项目环境影响报告表已于 2017 年 8 月 24 日经上海市浦东新区环境保护和
市容卫生管理局审批同意建设(沪浦环保许评[2017]1205 号),该项目之项目调
整环境影响报告表已于 2019 年 6 月 19 日经上海市浦东新区生态环境局审批同意
修改(沪浦环保许评[2019]292 号)。

    本项目在环境保护方面计划投入人民币 23,174.03 万元,目前已投入人民币
10,802.99 万元,已投入资金均为自有或自筹资金。环保投入主要用于购置废气
处理系统和废水处理系统、构建事故应急池等项目。


    7、项目备案情况

                                     345
中芯国际集成电路制造有限公司                                   招股意向书

    本项目已于 2018 年 7 月 5 日取得上海市张江高科技园区管委会备案。


(二)先进及成熟工艺研发项目储备资金

    1、项目基本情况

    公司结合实际经营情况与未来发展目标,将本次募集资金中的 40.00 亿元人

民币用于先进及成熟工艺研发项目的储备资金,根据市场需求与战略规划用于工

艺技术平台研发,提升公司的核心竞争力。

    本项目不直接涉及环保投入,不涉及需要履行环境影响评价义务的情形,符

合国家和地方环保要求。

    2、项目的必要性和可行性

    集成电路下游应用领域的迅速发展,不断对集成电路晶圆代工的工艺技术水
平提出更高要求,因此公司需储备充足资金大力推进工艺技术研发,不断升级工
艺技术水平,并进一步缩短研发周期,为提升公司综合竞争力提供有力支持。

    (1)项目的必要性

    ①先进工艺研发耗资巨大但新兴领域市场需求旺盛,公司亟需投入资金以
实现技术突破与持续增长

    在摩尔定律的推动下,电子元器件集成度的大幅提高要求集成电路线宽不断
缩小,直接导致集成电路制造工序愈为复杂,集成电路晶圆代工企业需投入更多
资金以满足先进工艺的巨额研发投入需求。

    目前,中国大陆尚无企业具备 14 纳米以下先进工艺的量产能力。公司作为
中国大陆第一家实现 14 纳米量产的集成电路晶圆代工企业,具备 14 纳米以下先
进工艺研发所需要的技术基础与资金实力,随着 5G、人工智能、智能驾驶等新
兴领域的持续发展,下游应用需求不断增长,国内外客户对于先进工艺的市场需
求持续上升,为公司先进工艺领域的研发投入创造了良好的市场环境,为本次先
进工艺研发项目储备资金的有效投入与产出奠定了稳固的市场基础。

    ②成熟工艺技术种类丰富且衍生应用产品复杂多样,公司亟需投入资金以
提升竞争实力与服务能力

                                  346
中芯国际集成电路制造有限公司                                   招股意向书

    在集成电路晶圆代工行业,工艺技术节点平台的丰富性是衡量集成电路晶圆
代工企业综合实力的重要考量因素,其不仅体现在单一技术平台所涵盖技术节点
的全面性,也体现在单一技术节点可应用于不同技术平台的多样性。

    公司目前已覆盖 0.35 微米至 14 纳米多种技术节点,已成功布局并开发了逻
辑电路、电源/模拟、高压驱动、嵌入式非挥发性存储、非易失性存储、混合信
号/射频、图像传感器等多个技术平台。未来新兴应用的提速发展将进一步提振
半导体产业的市场需求,伴随着集成电路行业特色工艺技术平台的不断演进与产
品应用衍生功能的持续完善,公司对于其技术节点覆盖范围与特色工艺平台种类
等方面将提出更高的要求,以提高服务客户的能力、公司自身综合实力、市场竞
争力以及抗风险能力,同时通过成熟工艺的升级来提升产品的内在价值,提高公
司的毛利水平。

    因此,公司通过投入成熟工艺研发项目储备资金,可有效提升基于多种技术
节点、不同工艺平台的集成电路晶圆代工能力,丰富产品结构,满足不同客户需
求,进而提升公司产品的核心竞争力。


    (2)项目的可行性

    ①高效的研发体系

    在先进及成熟工艺研发领域,公司均建立了专门的研发团队、完善的研发流
程以及高效的研发管理体系,不断加大对成熟制程、先进制程和特色工艺的研发
投入,并确保研发项目成功转化。

    公司建立了集“研发及生产”为一体的高效的研发体系,将研发项目与工厂
运转高度结合,通过快速的试验周期、有效的试验设计、准确及时的试验结果,
有效提高研发体系效率,为公司技术研究发展并开发国内领先的技术奠定基础条
件;此外,公司利用 IPD 项目管理流程,在研发项目立项前充分进行市场调研,
进行技术发展趋势和客户需求分析,经充分论证可行后进行项目立项,并依照
IPD 管理流程展开平台技术研发。




                                  347
中芯国际集成电路制造有限公司                                       招股意向书

    此外,公司将研发项目根据工艺特点细分为多个子项目,根据各子项目的进
度动态调整研发投入,有效管理开发成本,缩短整体项目的开发周期,从而快速
的将新开发的工艺平台推入市场。

    ②优秀的研发团队

    公司始终重视人才队伍的培养和建设,不断引进高端人才,同时重视对有潜
力员工的培养与选拔,不断优化与壮大研发团队,积累深厚的人才储备。

    截至 2019 年末,公司的硕士及博士人员占比为 20.52%;报告期各期末,公
司研发人员分别为 1,941 人、2,096 人及 2,530 人,占当期公司总人数的比例分别
为 10.95%、11.86%及 16.02%,研发人员的数量与占比不断提升。

    ③完善的技术保障

    公司拥有的知识产权是公司在集成电路行业内保持自身竞争力的关键。截至
2019 年 12 月 31 日,登记在公司及其控股子公司名下的与生产经营相关的主要
专利共 8,122 件,其中境内专利 6,527 件,包括发明专利 5,965 件;境外专利 1,595
件,此外公司还拥有集成电路布图设计 94 件。


    3、项目研发资金的具体用途及安排

    40.00 亿元项目研发资金将用于 14 纳米及以下先进工艺技术研发和 28 纳米
及以上成熟工艺技术研发,包括但不限于与上述研发活动相关的设备采购及维护
维修费,采购专有技术使用权,研发人员的薪资福利、直接投入费用(耗材、燃
料、动力等)、研究测试相关费用、产品设计费用及其他相关费用。


(三)补充营运资金

    1、项目基本情况

    本次发行募集资金在满足上述项目资金需求的同时拟使用 80.00 亿元补充营
运资金,以降低公司资产负债率、降低财务杠杆、优化资本结构,满足公司经营
发展对营运资金的需求。


    本项目不直接涉及环保投入,不涉及需要履行环境影响评价义务的情形,符

合国家和地方环保要求。

                                     348
中芯国际集成电路制造有限公司                                      招股意向书


    2、项目的必要性和可行性

    ①满足产能扩张所新增的营运资金需求

    近年来,随着下游市场需求的持续增长,公司包括资本支出在内的投入不断

加大,生产与经营规模亦逐年上升。报告期内,公司年产能(约当 8 英寸)分别

为 528.91 万片、539.32 万片及 548.25 万片,产能利用率分别为 86.72%、91.77%

及 93.99%。业务规模的不断扩大导致公司对营运资金的需求也持续增加。

    公司通过使用部分募集资金以补充流动资金,将有效增加营运资金,提高经
营效率,增强经营能力,满足公司业务规模的扩张带来的新增营运资金需求,对
公司发展战略的实施提供充分的资金支持。

    ②改善公司资本结构,提高抗风险能力

    由于业务发展的需要,公司选择通过各类债务融资工具以满足部分生产运营
相关的流动资金需求。随着公司生产经营规模的不断扩大,公司短期内的偿债压
力也不断增大。报告期内,公司流动负债分别为 1,119,290.39 万元、1,728,134.22
万元及 2,007,351.10 万元,占负债比例分别为 32.96%、45.79%、46.08%;流动
负债占比逐年增加;其中一年内到期的非流动负债分别为 125,061.14 万元、
763,379.61 万元及 849,351.85 万元,占负债比例分别为 3.68%、20.23%及 19.50%,
上升速度较快。公司需逐步优化负债结构,降低短期负债比重,以减轻短期偿债
压力。

    公司通过使用部分募集资金补充流动资金,将有利于降低财务杠杆,优化资
本结构,增强公司的抗风险能力,有利于公司长期稳健发展。


三、未来发展规划

(一)发行人发展战略规划

    集成电路产业是支撑国民经济和社会发展的基础性、战略性、先导性产业,
也是资金密集、技术密集、人才密集的高科技产业,集成电路制造是集成电路产
业的核心环节。中芯国际将继续坚持国际化、市场化方向,致力于先进逻辑工艺
和丰富的高质量特色工艺技术平台的研发及产能布局,致力于生产、运营及相关

                                    349
中芯国际集成电路制造有限公司                                      招股意向书

服务的不断优化及效率提升,努力为国内外客户提供高质量的代工服务,为客户
创造更大价值,实现自身健康成长,努力跻身于世界一流半导体企业行列,为全
行业发展乃至全社会的进步作出积极贡献!


(二)发行人报告期内为实现战略目标已采取的措施及实施效果

    1、持续加大科研投入力度

    中芯国际是世界领先的集成电路晶圆代工企业之一,也是中国大陆技术最先
进、规模最大、配套服务最完善、跨国经营的专业晶圆代工企业。公司依托于全
面、完善的技术服务和大规模、跨地区的制造平台,成为中国大陆第一家提供
0.18/0.15 微米、0.13/0.11 微米、90 纳米、65/55 纳米、45/40 纳米、28 纳米以及
14 纳米技术节点的晶圆代工企业。目前,公司第一代 14 纳米 FinFET 技术已进
入量产阶段,第二代 FinFET 技术平台已进入客户导入阶段,并同步研发下一代
先进工艺技术,为境内外客户提供高质量的服务。

    报 告期内,公司研发投入 分别为 357,607.78 万元、 447,090.01 万元 及
474,445.66 万元,占营业收入的比例分别为 16.72%、19.42%及 21.55%。公司将
大量研发投入所形成的科研成果及核心技术通过申请专利或建立信息隔离机制
进行保护,截至 2019 年 12 月 31 日,登记在公司及其控股子公司名下的与生产
经营相关的主要专利共 8,122 件,其中境内专利 6,527 件,包括发明专利 5,965
件;境外专利 1,595 件。

    同时,中芯国际承担了包括国家 01 专项、02 专项在内的 7 项重大科研项目,
共同发展前沿技术,建设自主创新体系,推动科技成果的产业化。


    2、持续引进优秀的研发人才,强化对研发人员的约束激励机制

    公司高度重视对行业优秀研发人才的吸引、任用、培养与保留。通过具有市
场竞争力的薪酬体系、业绩激励机制激励研发人才队伍不断进取、持续创新,通
过专项福利补贴及多样化的人才关爱与发展项目保持研发队伍稳定发展。

    具有市场竞争力的薪酬体系:公司高度重视研发人才的薪酬水平的市场竞争
力,已经建立了具有市场竞争力薪酬体系,并且制定了能够全面、合规、并且吸
引和稳定研发人员在公司长期发展(《2014 年购股权计划》和《2014 年以股支薪

                                    350
中芯国际集成电路制造有限公司                                  招股意向书

奖励计划》)的相关长期激励配套机制,大力引进高端研发人才,并不断促进研
发人才的吸引与保留。

    业绩激励机制:公司建立了全员绩效管理体系,制定了清晰明确的研发业绩
指标,将公司的研发业绩指标分类型、分阶段地层层分解至各级组织、团队和个
人,并建立了研发绩效指标的完成结果与个人业绩激励奖金、个人发展计划之间
的紧密联系,使个人目标、组织目标与研发业绩指标紧密相连,促进公司研发目
标的达成。

    研发项目激励机制:公司针对研发组织专门设立了研发专项奖,用于奖励各
类研发项目中的优秀团队和研发人员,该研发专项奖根据各研发项目的研发计划
中所明确的研发目标分阶段的完成情况,在经过评估阶段研发成果合格后,给予
相应的专项奖励。


    3、拓展核心技术及主要产品应用领域

    公司长期专注于集成电路工艺技术以及相关的 IP/设计服务/光掩模/生产自
动化技术的开发。经过多年的积累,公司成功开发了 0.35 微米至 14 纳米多种技
术节点,应用于不同工艺技术平台,具备逻辑电路、电源/模拟、高压驱动、嵌
入式非挥发性存储、非易失性存储、混合信号/射频、图像传感器等多个技术平
台的量产能力,可为客户提供通讯产品、消费品、汽车、工业、计算机等不同领
域的集成电路晶圆代工及配套服务。


    4、完善内部管理结构,提高管理水平

    报告期内,公司不断完善内部管理结构、提高管理水平以适应公司战略发展
的需求。公司按照上市公司的要求,持续完善法人治理结构,规范股东大会、董
事会的运作,完善公司管理层的工作制度,建立科学有效的公司决策机制。


(三)发行人未来具体发展计划及采取的措施

    1、技术研发规划

    未来三年,公司将继续加大技术开发和自主创新力度,在现有研发部门的基
础上,公司将加大投入,购置研发设备、扩大研发团队,紧跟市场需求,通过与



                                   351
中芯国际集成电路制造有限公司                                  招股意向书

境内外高校、一流的科研院所及上游供应商、下游客户合作,不断推进先进制程
和现有成熟制程差异化特色工艺等方面的开发应用,提高生产线效率、良率和稳
定性,并将研究成果转化为技术专利予以保护,增强公司的技术壁垒,保证公司
核心技术的领先性。


       2、营销发展规划

    公司将继续稳定现有客户,同时加大品牌推广力度,逐步完善公司的营销能
力。

    根据公司发展战略,在继续深耕智能手机、智慧家庭、消费电子市场领域客
户的同时,公司将大力拓展物联网、计算、汽车电子、工业应用等其他领域的客
户,逐步与一批核心客户建立持续合作关系,为公司创造新的业绩增长点,把握
集成电路产业发展浪潮所带来的广阔发展空间。


       3、人力资源发展规划

    人才是企业之本,也是企业发展最重要的核心资源之一。公司从战略和全局
的高度制定适合当前和未来发展需要的人才战略,构建人才战略体系,建立人才
网络,科学合理规划、配置和管理人才资源,适量储备发展人才,最大程度发挥
人才的创造性。通过系统化的人才开发,人力资源管理,人才制度建设,人才培
养、任用、选拔、保有和引进机制的建立与完善等措施,建立以人为本、人尽其
才、才尽其用的树人、用人良好环境,增强人才综合能力,提升公司整体竞争力。


       4、内部治理结构规划

    公司将充分利用本次公开发行股票并在科创板上市的契机,在适用三地法律、
遵守两地上市和监管规则的总体原则下,不断探索适应公司高效灵活运作的治理
结构,持续优化管理层面的工作细则,健全更加科学有效的公司决策机制、市场
快速反应机制和风险防范机制,以适应公司的高速成长,增强适应国际化、市场
化的全面竞争力。




                                  352
中芯国际集成电路制造有限公司                                 招股意向书


(四)公司在上市后将持续公告有关发展规划实施的情况

    公司上市后将严格遵守相关法律、法规和规范性文件,通过定期报告等方式,

持续公告公司未来发展规划的实施情况。




                                  353
中芯国际集成电路制造有限公司                                  招股意向书


                          第十节 投资者保护

一、投资者关系主要安排

    为了切实保护投资者的合法权益,根据适用的法律、法规和规范性文件的规
定,公司制定了《公司章程》《股东大会议事规则》《信息披露事务管理制度》和
《投资者关系管理制度》,以有效保障公司在科创板发行上市后与投资者之间的
良好沟通,增加投资者对公司的了解和认同,进一步提升公司治理水平,实现公
司整体利益最大化和保护投资者的合法权益。


二、公司本次发行后的股利分配政策和决策程序

    2020 年 6 月 1 日,发行人召开股东特别大会,审议通过了《有关利润分配
政策及人民币股份发行后三年的股息回报计划之决议案》,对本次发行后的股利
分配政策作出相应规定,具体如下:


(一)利润分配政策

    1、公司的利润分配原则

    公司实行持续、稳定的利润分配政策,公司的利润分配应重视投资者的合理

投资回报,兼顾公司的可持续发展,公司董事会和股东大会对利润分配政策的决

策和论证过程应当充分考虑独立非执行董事和公众投资者的意见。

    2、公司的利润分配形式

    公司可以采取现金、股票、现金与股票相结合或法律、法规及规范性文件允

许的其他方式分配利润。具备现金分红条件的,应当优先采用现金分红的利润分

配方式。

    3、公司现金分红条件

    公司实施现金分红应同时满足以下条件:

    (1)公司未分配利润为正、当年度实现盈利且该年度实现的可分配利润(即

公司弥补亏损、提取公积金后的税后利润)为正,现金分红后公司现金流仍可以

                                   354
中芯国际集成电路制造有限公司                                   招股意向书


满足公司持续经营和长期发展的需要;

    (2)审计机构对公司的该年度财务报告出具标准无保留意见的审计报告;

    (3)公司未来十二个月内无重大对外投资计划或重大现金支出(本次 A 股

发行的募集资金投资项目除外)。重大投资计划或重大现金支出是指公司未来十

二个月内拟建设项目、对外投资、收购资产或者购买设备的累计支出达到或者超

过公司最近一期经审计净资产的 10%;

    (4)满足法律、法规及规范性文件规定的其他现金分红条件。

    4、公司现金形式分红的比例与时间间隔

    在满足现金分红条件的前提下,公司原则上每年进行一次现金分红,公司每
年以现金方式分配的利润不少于当年实现的可分配利润的 10%。

    公司董事会应当综合考虑所处行业特点、发展阶段、自身经营模式、盈利水
平以及是否有重大资金支出安排等因素,在满足现金分红条件的前提下,区分下
列情形,并按照公司章程规定的程序,提出差异化的现金分红政策:

    (1)当公司发展阶段属成熟期且无重大资金支出安排的,进行利润分配时,
现金分红在本次利润分配中所占比例最低应达到 80%;

    (2)当公司发展阶段属成熟期且有重大资金支出安排的,进行利润分配时,
现金分红在本次利润分配中所占比例最低应达到 40%;

    (3)当公司发展阶段属成长期且有重大资金支出安排的,进行利润分配时,
现金分红在本次利润分配中所占比例最低应达到 20%;

    (4)当公司发展阶段不易区分但有重大资金支出安排的,可以按照前项规
定处理。


    5、发放股票股利的条件

    若公司经营情况良好,并且董事会认为公司股票价格与公司股本规模不匹配、
每股净资产偏高、发放股票股利有利于公司全体股东整体利益时,可以提出实施




                                  355
中芯国际集成电路制造有限公司                                  招股意向书

股票股利分配预案。采用股票股利进行股利分配的,应当考虑公司成长性、每股
净资产的摊薄等真实合理因素。


    6、利润分配的决策程序和机制

    公司董事会将根据本文件规定的利润分配政策制订公司的具体利润分配方
案并报股东大会审议,利润分配方案经股东大会以普通决议案批准后方可实施。

    7、公司利润分配政策调整的决策机制和程序

    公司认为确有必要对利润分配政策进行调整或者变更的,应当将修订后的利
润分配政策提交股东大会审议。


(二)发行上市后三年的分红回报计划

    本次 A 股发行完成后,公司将进一步重视对投资者的合理投资回报并兼顾
公司未来的可持续发展,为此,在满足现金分红条件的前提下,并且在公司能够
持续经营和长期发展的基础上,公司未来三年以现金方式累计分配的股利不少于
该三年实现的年均可分配利润的 30%。

    公司目前及未来三年仍处于快速发展阶段,公司持续的产能扩张需求需要较
大资金投入,同时由于生产规模扩张也带来了较大的流动资金需求,因此,公司
需要留存足够的现金以适应经营发展所需。公司留存未分配利润将用于公司主营
业务的发展。


三、公司本次发行前后的股利分配政策差异情况

    本次发行前,公司根据《开曼群岛公司法》及香港联交所的有关规定制定了
股利分配政策。

    根据中国证监会《关于进一步落实上市公司现金分红有关事项的通知》及《上
市公司监管指引第 3 号——上市公司现金分红》等相关规定,公司进一步完善了
本次发行后的股利分配政策,对股利分配形式、股利分配的期间间隔、股利分配
的条件、股利分配的决策程序与机制等事项进行了相应明确。




                                  356
中芯国际集成电路制造有限公司                                   招股意向书


四、本次发行前滚存利润分配安排

    2020 年 6 月 1 日,发行人召开股东特别大会,审议通过了《有关人民币股

份发行前滚存利润分配的方案之决议案》,本次 A 股发行完成前,公司可根据《公

司章程》进行利润分配;本次 A 股发行完成后,公司于本次 A 股发行前形成的

滚存未分配利润,由本次 A 股发行完成后新老股东按照持股比例共同享有。


五、股东投票机制建立情况

(一)董事选举安排

    1、发行人董事选举安排

    根据《公司章程(A 股上市后适用稿)》及《香港上市规则》的规定,公司

董事由股东大会选举或罢免,但在董事席位有空缺或公司认为需要增加董事的情

形下,董事会可以委任新的董事,但该名新任董事需在下一次股东周年大会上接

受股东的选举。

    此外,发行人董事(替任董事除外)可以书面形式委任任何其他董事或愿意

出任替任董事的人士担任替任董事,并可以书面形式免除其委任的替任董事。替

任董事有权收取所有董事会会议及其委任人担任成员的所有董事委员会会议的

通告,出席作出委任的董事未能亲身出席的任何上述会议及于会上投票,并在其

委任人缺席的情况下履行其委任人作为董事的所有职能。

    2、无需强制适用累积投票规定

    根据《国务院办公厅关于进一步加强资本市场中小投资者合法权益保护工作

的意见》《上市公司治理准则(2018 修订)》的相关规定,单一股东及其一致行

动人拥有权益的股份比例在 30%及以上的上市公司应当采用累积投票制。截至报

告期末,公司不存在单一股东及其一致行动人拥有权益的股份比例在 30%及以上

的情况,因此,公司股东大会就选举董事进行表决时可以不采用累积投票制。




                                   357
中芯国际集成电路制造有限公司                                     招股意向书


(二)中小投资者单独计票机制

    公司作为香港上市公司,绝大多数于香港上市的股份存管于 The Central

Clearing and Settlement System(CCASS,即中央结算及交收系统)。该系统由 The

Hong Kong Securities Clearing Company Limited(HKSCC,即香港中央结算有限

公司)拥有及运营。存管于 CCASS 系统的股份由香港中央结算有限公司的全资

附属公司 HKSCC Nominees Limited(HKSCC Nominees,即香港中央结算(代理

人)有限公司)持有。公司股东名册上显示 HKSCC Nominees 持有所有存管于

CCASS 系统的股份。公司股东大会前,各实益股东须向其聘请的 CCASS 系统参

与者(即经纪人)给出投票指示,HKSCC Nominees 会统计所有 CCASS 系统参

与者的投票指示,并在合并的基础上,就已收到投票指示的所有存管于 CCASS

系统的股份进行投票。因此无法穿透到实益股东层面计票,亦无从判断实益股东

是否为中小股东,无法为其单独计票。

    报告期内,公司任何单一股东持股比例均低于 30%,公司无控股股东和实际

控制人,且公司已建立健全独立非执行董事工作制度,独立非执行董事的主要职

责包括参与董事会会议并在涉及公司策略、政策、公司表现及操守准则等方面提

供独立意见,并在出现潜在利益冲突时发挥相应作用并及时监察公司表现。独立

非执行董事在股东大会审议影响中小投资者利益的重大事项前,能够发挥其在业

务方面的专长,对影响或可能影响中小投资者利益的事项提出相应意见与建议,

从而保护中小投资者利益。

(三)网络投票相关安排

    根据《公司章程(A 股上市后适用稿)》,董事会可全权酌情决定以遥距通讯

方式举行股东大会,有权出席股东大会并于会上投票但并非以现场方式出席该大

会的股东及受委代表,可透过遥距通讯方式参与股东大会,且视为亲自出席股东

大会并于会上投票。在本次发行上市完成后,发行人会根据《上海证券交易所上

市公司股东大会网络投票实施细则》为其人民币普通股股东行使表决权提供网络

投票方式。




                                    358
中芯国际集成电路制造有限公司                                       招股意向书


六、特别表决权股份、协议控制的特殊安排

    《公司章程》未针对特定股东设置特别表决权股份,公司股东亦不存在协议

控制的特殊安排情况。


七、发行人、主要股东、董事、高级管理人员及本次发行的保荐人及

证券服务机构作出的重要承诺

(一)稳定股价的措施和承诺

    为保持上市后股价稳定,发行人制定了《关于首次公开发行人民币普通股(A

股)并在上海证券交易所科创板上市后三年稳定公司 A 股股价的预案》如下:

    “1、启动稳定股价的触发条件

    自股票在上海证券交易所科创板挂牌上市之日起三年内,若非因不可抗力因

素所致,出现连续 20 个交易日公司股票收盘价低于公司最近一期经审计的每股

净资产(因利润分配、资本公积金转增股本、增发、配股等情况导致公司净资产

或股份总数出现变化的,每股净资产相应进行调整)情形时,公司将自行或促使

本预案中涉及的其他主体依照本预案的规定启动股价稳定措施。

    2、公司稳定股价的主要措施与程序

    当预案的触发条件成就后,公司应依照法律、法规、规范性文件、

《Semiconductor Manufacturing International Corporation(中芯国际集成电路制造

有限公司)组织章程大纲及章程细则》及公司相关制度的规定,采取以下全部或

部分措施稳定公司股价:

    (1)在不影响公司正常生产经营的情况下,经公司根据适用法律、法规及

规范性文件有权批准的内部机构审议同意,公司向社会公众股东回购股票;

    (2)在上述(1)项措施实施完毕后公司股票收盘价格仍低于最近一期经审

计的每股净资产的,公司应要求领薪董事(独立非执行董事除外)、高级管理人

员增持公司股票(前提是该等人员有资格购买股票);


                                     359
中芯国际集成电路制造有限公司                                   招股意向书


    (3)其他法律、法规、规范性文件规定以及中国证券监督管理委员会或上

海证券交易所认可的其他稳定股价的方式。

    公司应保证上述股价稳定措施实施过程中及实施后,公司的股权分布始终符

合香港联合交易所有限公司主板和上海证券交易所科创板上市条件。

    3、公司回购股票

    公司回购股票应当符合开曼群岛法律法规、上市所在地证券监管机构、证券

交易所监管规则及《公司章程》等规定。公司董事会将在本公司股票价格触发本

预案启动股价稳定措施条件之日起的合理时间内制订稳定本公司股价具体方案,

并提交董事会和/或股东大会批准。具体回购方案应在董事会和/或股东大会作出

股份回购决议后公告。

    在股东大会和/或董事会审议通过股份回购方案后,公司将依法通知债权人

(如需),并向证券监督管理部门、证券交易所等主管部门报送相关材料,办理

审批或备案手续(如需)。

    公司回购股份的价格不超过最近一期经审计的每股净资产(因利润分配、资

本公积金转增股本、增发、配股等情况导致公司净资产或股份总数出现变化的,

每股净资产相应进行调整),回购股份的方式为集中竞价、要约或证券监督管理

部门认可的其他方式。如果股份回购方案实施前公司股票价格已经不满足预案触

发条件的,则公司无须继续实施该方案。

    若某一会计年度内公司股价多次出现触发本预案规定的股价稳定措施的情

形(不包括公司实施稳定股价措施期间及实施完毕当次稳定股价措施并公告日后

开始计算的连续 20 个交易日股票收盘价仍低于最近一期经审计的每股净资产的

情形),公司将分别按照本预案执行股价稳定措施,除非公司出现股份回购方案

约定的当年度可中止实施稳定股价措施的情形。在某一会计年度公司中止执行股

价稳定措施的情况下,若下一年度继续出现触发本预案规定的稳定股价措施的情

形时,公司将继续按照本预案执行。

    4、公司领薪董事(不包括独立非执行董事)和高级管理人员增持股票


                                   360
中芯国际集成电路制造有限公司                                              招股意向书


    若公司根据本预案实施完毕稳定股价措施后公司股票收盘价格仍低于最近

一期经审计的每股净资产的,在不影响公司股权分布始终符合上市条件,且符合

上市地适用法律法规的前提下,公司领薪董事(不包括独立非执行董事)和高级

管理人员应根据公司的要求在合理期间内增持公司股票。

    公司领薪董事(不包括独立非执行董事)和高级管理人员通过二级市场以竞

价方式买入公司股份的,买入价格不高于公司最近一期经审计的每股净资产(因

利润分配、资本公积金转增股本、增发、配股等情况导致公司净资产或股份总数

出现变化的,每股净资产相应进行调整)。但如果在增持股票前公司股票价格已

经不满足预案触发条件的,公司领薪董事(不包括独立非执行董事)和高级管理

人员可不再继续实施稳定股价的措施。

    若某一会计年度内公司股价多次出现触发本预案规定的股价稳定措施的情

形(不包括公司领薪董事(不包括独立非执行董事)和高级管理人员实施稳定股

价措施期间及实施完毕当次稳定股价措施并由公司公告日后开始计算的连续 20

个交易日股票收盘价仍低于最近一期经审计的每股净资产的情形),公司可分别

要求公司领薪董事(不包括独立非执行董事)和高级管理人员按照预案执行稳定

股价措施。但在任何情况下每一人单一年度用以稳定股价所动用的资金应不超过

其上一年度从公司处领取的税后现金薪酬的 15%,超过上述标准的,该人士在当

年度可不再继续实施稳定股价措施。但如下一年度出现触发本预案规定的稳定股

价措施的情形时,将继续按照上述原则执行稳定股价预案。

    若公司在本次 A 股发行后三年内更换或聘任新的董事(独立非执行董事除

外)、高级管理人员,在该等人员就任前,公司应要求其签署承诺书,保证其依

照本预案的规定履行稳定股价的义务,并要求其依照公司本次 A 股发行时董事、

高级管理人员出具的承诺提出未履行本预案项下义务时的约束措施。

    公 司 违 反 上 述 承 诺 , 将 遵 照 另 行 出 具 的 《 Semiconductor Manufacturing

International Corporation(中芯国际集成电路制造有限公司)关于未能履行相关

承诺的约束措施的承诺函》承担相应责任。”

    为保护投资者利益,公司就上述稳定股价措施作出承诺如下:

                                        361
中芯国际集成电路制造有限公司                                       招股意向书


    “公司将严格执行《Semiconductor Manufacturing International Corporation

(中芯国际集成电路制造有限公司)关于首次公开发行人民币普通股(A 股)并

在上海证券交易所科创板上市后三年稳定公司 A 股股价的预案》的相关规定。

    如公司违反上述承诺,将遵照另行出具的《Semiconductor Manufacturing

International Corporation(中芯国际集成电路制造有限公司)关于未能履行相关

承诺的约束措施的承诺函》承担相应责任。

    为保护投资者利益,公司领薪董事(不包括独立非执行董事)和高级管理人

员就稳定股价措施作出如下承诺:”

    “1、作为发行人的董事和/或高级管理人员,本人将依照《Semiconductor

Manufacturing International Corporation(中芯国际集成电路制造有限公司)关于

首次公开发行人民币普通股(A 股)并在上海证券交易所科创板上市后三年稳定

A 股股价的预案》规定的条件等履行稳定公司 A 股股价的义务。

    2、本人做出的承诺须符合适用法律、法规及规范性文件的规定,及证券监

督管理部门和其他有权部门的监管要求。如本人违反上述承诺,将遵照另行出具

的《Semiconductor Manufacturing International Corporation(中芯国际集成电路制

造有限公司)董事、高级管理人员关于未能履行相关承诺的约束措施的承诺函》

承担相应责任。”

(二)股份回购和股份购回的措施和承诺

    发行人出具股份回购和股份购回的承诺如下:

    “1、如证券监督管理部门或其他有权部门认定公司本次 A 股发行的《招股

说明书》所载之内容存在任何虚假记载、误导性陈述或者重大遗漏之情形,该等

情形对判断公司是否符合法律规定的发行条件构成重大且实质影响,且以欺诈手

段骗取发行注册并已经发行上市的,则公司承诺将依法回购本次 A 股发行的全

部新股。

    2、当《Semiconductor Manufacturing International Corporation(中芯国际集

成电路制造有限公司)关于首次公开发行人民币普通股(A 股)并在上海证券交

                                     362
中芯国际集成电路制造有限公司                                   招股意向书


易所科创板上市后三年稳定 A 股股价的预案》中约定的启动稳定股价的触发条

件成就时,公司将按照此预案的规定履行回购公司股份的义务。

    如公司违反上述承诺,将遵照另行出具的《Semiconductor Manufacturing

International Corporation(中芯国际集成电路制造有限公司)关于未能履行相关

承诺的约束措施的承诺函》承担相应责任。”

(三)对欺诈发行上市的股份购回承诺

    1、发行人出具欺诈发行上市的股份购回承诺如下:

    “(1)保证公司本次 A 股发行不存在任何欺诈发行的情形。

    (2)如公司不符合发行上市条件,以欺诈手段骗取发行注册并已经发行上

市的,公司将在中国证券监督管理委员会等有权部门确认后的 5 个工作日内启动

股份购回程序,购回公司本次 A 股发行的全部新股。”

    2、发行人主要股东大唐香港及鑫芯香港、间接持有发行人 5%以上股份的境

内股东大唐控股及巽鑫投资出具欺诈发行上市的股份购回承诺如下:

    “(1)保证发行人本次 A 股发行不存在任何欺诈发行的情形。

    (2)如发行人不符合发行上市条件,以欺诈手段骗取发行注册并已经发行

上市的,本公司将督促发行人在中国证券监督管理委员会等有权部门确认后 5

个工作日内启动股份购回程序,购回发行人本次 A 股发行的全部新股。

    如本公司未能依照上述承诺履行义务的,本公司将依照未能履行承诺时的约

束措施承担相应责任。”

(四)填补被摊薄即期回报的措施及承诺

    本次公开发行完成后,随着募集资金的到位,公司的股本及净资产将大幅增

长。但由于募集资金产生效益需要一定时间,短期内公司的营业收入和净利润难

以实现同步增长,公司每股收益和净资产收益率等指标在发行后的一定期间内将

会被摊薄。



                                   363
中芯国际集成电路制造有限公司                                            招股意向书


     1、发行人出具关于填补被摊薄即期回报的措施与承诺如下:

     “(1)考虑到行业特性,公司将持续加大研发投入,积极拓展公司主营业务,

增强持续盈利能力

     本次 A 股发行完成后,公司资金实力增强,净资产规模扩大,资产负债率

下降,从而提升了公司的抗风险能力和持续经营能力。在此基础上,公司将通过

募集资金投资项目大力拓展主营业务,扩大市场份额,增强公司持续盈利能力,

提高股东回报。

     (2)不断完善公司治理,加强公司内部控制建设,为公司发展提供制度保

障

     公司将不断完善公司治理结构,努力加强内部控制建设,继续完善并优化经

营管理和投资决策程序,提高日常经营效率,确保股东能够充分行使权力,确保

董 事 会 能 够 按 照 法 律 、 法 规 和 《 Semiconductor Manufacturing International

Corporation(中芯国际集成电路制造有限公司)组织章程大纲及章程细则》的规

定行使职权、做出科学、迅速和谨慎的决策,确保独立非执行董事能够认真履行

职责,维护公司整体利益,尤其是公众股东的合法权益。

     (3)推进募投项目建设进度,提高资金使用效率

     公司募集资金投资项目围绕公司主营业务,经过论证,符合公司发展战略和

国家产业政策,具有良好的市场前景和经济效益。本次募集资金到位后,公司将

继续推进募投项目的投资与建设进度,同时将严格执行公司募集资金管理制度,

加强对募集资金的管理,确保专款专用,防范募集资金使用风险,保障投资者的

利益。

     (4)完善利润分配政策,优化投资回报机制

     公司将制定《Semiconductor Manufacturing International Corporation(中芯国

际集成电路制造有限公司)利润分配政策及首次公开发行人民币普通股(A 股)

并在上海证券交易所科创板上市后三年分红回报计划》。本次 A 股发行完成后,



                                       364
中芯国际集成电路制造有限公司                                   招股意向书


公司将严格执行前述文件的相关规定,结合公司经营情况和发展规划,在符合条

件的情况下积极推动对投资人的利润分配及现金分红,努力提升股东回报。

    如公司违反上述承诺,将遵照另行出具的《Semiconductor Manufacturing

International Corporation(中芯国际集成电路制造有限公司)关于未能履行相关

承诺的约束措施的承诺函》承担相应责任,同时向投资者提出补充承诺或替代承

诺,以尽可能保护投资者的利益,并在公司股东大会审议通过后实施补充承诺或

替代承诺。”

    2、发行人董事、高级管理人员关于填补被摊薄即期回报的措施与承诺如下:

    “(1)本人承诺不无偿或以不公平条件向其他单位或者个人输送利益,也不

采用其他方式损害公司利益。

    (2)本人承诺对职务消费行为进行约束。

    (3)本人承诺不动用公司资产从事与本人履行职责无关的投资、消费活动。

    (4)本人承诺由董事会或薪酬委员会制定的薪酬制度与发行人填补被摊薄

即期回报的措施的执行情况相挂钩。

    (5)如发行人后续推出股权激励政策,本人承诺同意拟公布实施的发行人

股权激励的行权条件与发行人填补被摊薄即期回报的措施的执行情况相挂钩。

    (6)自本承诺出具日至发行人本次 A 股发行完毕前,如中国证券监督管理

委员会或上海证券交易所发布关于填补被摊薄即期回报的措施及承诺的其他新

监管规定的,且上述承诺不能满足前述规定时,本人承诺届时将按照前述规定出

具补充承诺。

    (7)本人承诺切实履行发行人制定的有关填补被摊薄即期回报的措施以及

对此做出的任何有关填补被摊薄即期回报的措施的承诺,如违反该等承诺并给发

行人或者投资者造成损失的,本人愿意依法承担对发行人或者投资者的补偿责任。

    本人做出的承诺须符合适用法律、法规及规范性文件的规定,及证券监督管

理部门和其他有权部门的监管要求。如本人违反上述承诺,将遵照另行出具的


                                   365
中芯国际集成电路制造有限公司                                               招股意向书


《Semiconductor Manufacturing International Corporation(中芯国际集成电路制造

有限公司)董事、高级管理人员关于未能履行相关承诺的约束措施的承诺函》承

担相应责任。”

(五)利润分配政策的承诺

    本次发行完成后,发行人将严格按照关于利润分配政策及《有关利润分配政

策及人民币股份发行后三年的股息回报计划之决议案》的相关规定执行股利分配

政策,其中载明的股利分配政策参见本招股意向书“第十节 投资者保护”之“二、

公司本次发行后的股利分配政策和决策程序”。

    发行人关于利润分配政策的承诺如下:

    “公司在本次 A 股发行后将严格依照中国证券监督管理委员会《关于进一
步 落 实 上 市 公 司 现 金 分 红 有 关 事 项 的 通 知 》《 Semiconductor Manufacturing
International Corporation(中芯国际集成电路制造有限公司)组织章程大纲及章
程细则》及《Semiconductor Manufacturing International Corporation(中芯国际集
成电路制造有限公司)利润分配政策及首次公开发行人民币普通股(A 股)并在
上海证券交易所科创板上市后三年分红回报计划》等规定执行利润分配政策。


    如公司违反上述承诺,将遵照另行出具的《Semiconductor Manufacturing

International Corporation(中芯国际集成电路制造有限公司)关于未能履行相关

承诺的约束措施的承诺函》承担相应责任。”

(六)依法承担赔偿或赔偿责任的承诺

    1、发行人关于依法承担赔偿或赔偿责任的承诺如下:

    “(1)公司本次 A 股发行的《招股说明书》所载之内容不存在虚假记载、

误导性陈述或重大遗漏之情形,且公司对上市文件所载之内容真实性、准确性、

完整性承担相应的法律责任。

    (2)如中国证券监督管理委员会或其他有权部门认定《招股说明书》所载

之内容存在任何虚假记载、误导性陈述或者重大遗漏之情形,该等情形对判断公



                                         366
中芯国际集成电路制造有限公司                                   招股意向书


司是否符合法律规定的发行条件构成重大且实质影响,且以欺诈手段骗取发行注

册并已经发行上市的,则公司承诺将依法回购本次 A 股发行的全部新股。

    (3)如《招股说明书》所载之内容存在虚假记载、误导性陈述或者重大遗

漏,致使投资者在证券交易中遭受损失的,则公司将依法赔偿投资者损失,具体

流程如下:

    (i)证券监督管理部门或其他有权部门认定公司《招股说明书》存在虚假

记载、误导性陈述或者重大遗漏,且公司因此承担责任的,公司在收到该等认定

的书面通知后五个工作日内,将启动赔偿投资者损失的相关工作;

    (ii)公司将积极与相关中介机构、投资者沟通协商确定赔偿范围、赔偿顺

序、赔偿金额、赔偿方式;

    (iii)经前述方式协商确定赔偿金额,或者经证券监督管理部门或其他有权

部门认定赔偿金额后,依据前述沟通协商的方式或其它法定形式进行赔偿。

    如公司违反上述承诺,将遵照另行出具的《Semiconductor Manufacturing

International Corporation(中芯国际集成电路制造有限公司)关于未能履行相关

承诺的约束措施的承诺函》承担相应责任。”

    2、发行人主要股东大唐香港及鑫芯香港关于依法承担赔偿或赔偿责任的承

诺如下:

    “(1)本次 A 股发行的《招股说明书》所载之内容不存在虚假记载、误导

性陈述或重大遗漏之情形,且本公司对《招股说明书》所载之内容真实性、准确

性、完整性承担相应的法律责任。

    (2)如《招股说明书》所载之内容存在虚假记载、误导性陈述或者重大遗

漏,致使投资者在证券交易中遭受损失的,则本公司将依法赔偿投资者损失,具

体流程如下:




                                   367
中芯国际集成电路制造有限公司                                  招股意向书


    (i)证券监督管理部门或司法机关最终认定《招股说明书》存在虚假记载、

误导性陈述或者重大遗漏,且本公司因此承担责任的,本公司在收到该等认定书

面通知后十个工作日内,将启动赔偿投资者损失的相关工作。

    (ii)本公司将积极与投资者沟通协商确定赔偿范围、赔偿顺序、赔偿金额、

赔偿方式。

    (iii)经前述方式协商确定赔偿金额,或者经证券监督管理部门、司法机关

最终认定赔偿金额后,据此进行赔偿。

    如本公司未能依照上述承诺履行义务的,本公司将依照未能履行承诺时的约

束措施承担相应责任。”

    3、发行人间接持有发行人 5%以上股份的境内股东大唐控股及巽鑫投资关于

依法承担赔偿或赔偿责任的承诺如下:

    “(1)本次 A 股发行的《招股说明书》所载之内容不存在虚假记载、误导

性陈述或重大遗漏之情形,且本公司对《招股说明书》所载之内容真实性、准确

性、完整性承担相应的法律责任。

    (2)如《招股说明书》所载之内容存在虚假记载、误导性陈述或者重大遗

漏,致使投资者在证券交易中遭受损失的,则本公司将依法赔偿投资者损失,具

体流程如下:

    (i)证券监督管理部门或司法机关最终认定《招股说明书》存在虚假记载、

误导性陈述或者重大遗漏,且本公司因此承担责任的,本公司在收到该等认定书

面通知后三个工作日内,将启动赔偿投资者损失的相关工作。

    (ii)本公司将积极与投资者沟通协商确定赔偿范围、赔偿顺序、赔偿金额、

赔偿方式。

    (iii)经前述方式协商确定赔偿金额,或者经证券监督管理部门、司法机关

最终认定赔偿金额后,据此进行赔偿。




                                  368
中芯国际集成电路制造有限公司                                       招股意向书


    如本公司未能依照上述承诺履行义务的,本公司将依照未能履行承诺时的约

束措施承担相应责任。”

    4、发行人董事、高级管理人员关于依法承担赔偿或赔偿责任的承诺如下:

    “(1)本次 A 股发行的《招股说明书》及其他申请文件所载之内容不存在

虚假记载、误导性陈述或重大遗漏之情形,且本人对《招股说明书》所载之内容

真实性、准确性、完整性承担相应的法律责任。

    (2)如《招股说明书》及其他申请文件所载之内容存在虚假记载、误导性

陈述或者重大遗漏,致使投资者在证券交易中遭受损失的,则本人将依法赔偿投

资者损失,具体流程如下:

    (i)证券监督管理部门或其他有权部门认定《招股说明书》及其他申请文

件存在虚假记载、误导性陈述或者重大遗漏,且本人因此承担责任的,本人在收

到该等认定书面通知后三个工作日内,将启动赔偿投资者损失的相关工作;

    (ii)本人将积极与发行人、其他中介机构、投资者沟通协商确定赔偿范围、

赔偿顺序、赔偿金额、赔偿方式;

    (iii)经前述方式协商确定赔偿金额,或者经证券监督管理部门、司法机关

认定赔偿金额后,依据前述沟通协商的方式或其它法定形式进行赔偿。

    本人做出的承诺须符合适用法律、法规及规范性文件的规定,及证券监督管

理部门和其他有权部门的监管要求。如本人违反上述承诺,将遵照另行出具的

《Semiconductor Manufacturing International Corporation(中芯国际集成电路制造

有限公司)董事、高级管理人员关于未能履行相关承诺的约束措施的承诺函》承

担相应责任。”

(七)未履行承诺的约束措施

    1、针对本次 A 股发行过程中所做出的各项承诺之履行事宜,发行人承诺如

下:




                                     369
中芯国际集成电路制造有限公司                                       招股意向书

    “(1)公司在本次 A 股发行中做出的全部公开承诺(以下简称“承诺事项”)
均为公司的真实意思表示,并对公司具有约束力,公司自愿接受监管机构、自律
组织及社会公众的监督。公司将严格履行承诺事项中的各项义务和责任。

    (2)如公司非因不可抗力原因导致未能完全且有效地履行承诺事项,则公
司承诺将采取以下措施予以约束:

    ①可以采取相应补救措施或提出新的承诺(相关承诺需按法律、法规及规范
性文件、《Semiconductor Manufacturing International Corporation(中芯国际集成
电路制造有限公司)组织章程大纲及章程细则》及相关内控制度的规定履行相关
审批和信息披露程序);


    ②在证券监管管理部门或其他有权部门认定公司违反或者未实际履行承诺

事项之日起 30 日内,或认定因公司违反或未实际履行承诺事项而致使投资者在

证券交易中遭受损失之日起 30 日内,公司将依法向投资者赔偿相应损失,补偿

金额依据公司与投资者协商确定的金额,或证券监督管理部门或其他有权部门认

定的方式或金额确定。”

    2、发行人全体董事、高级管理人员承诺如下:

    “(1)本人在发行人本次 A 股发行中做出的全部公开承诺(以下简称“承
诺事项”)均为本人的真实意思表示,并对本人具有约束力,本人自愿接受监管
机构、自律组织及社会公众的监督。本人将严格履行承诺事项中的各项义务和责
任。
    (2)如本人非因不可抗力原因导致未能完全且有效地履行承诺事项,则本
人承诺将采取以下各项措施予以约束:
    ①可以采取相应补救措施或提出新的承诺(相关承诺需按法律、法规、规范
性文件及《Semiconductor Manufacturing International Corporation(中芯国际集成
电路制造有限公司)组织章程大纲及章程细则》、相关内控制度的规定履行相关
审批和信息披露程序);


    ②在证券监管部门或其他有权部门认定本人违反或者未实际履行前述承诺

事项之日起 30 日内,或认定因本人违反或未实际履行承诺事项而致使投资者在


                                     370
中芯国际集成电路制造有限公司                                  招股意向书


证券交易中遭受损失之日起 30 日内,本人自愿将从发行人所领取的全部薪酬和

/或津贴对投资者先行进行赔偿。”

    3、发行人主要股东大唐香港及鑫芯香港、间接持有发行人 5%以上股份的境

内股东大唐控股及巽鑫投资承诺如下:

    “(1)本公司在发行人本次 A 股发行中做出的全部公开承诺(以下简称“承
诺事项”)均为本公司的真实意思表示,并对本公司具有约束力,本公司自愿接
受监管机构、自律组织及社会公众的监督。本公司将严格履行承诺事项中的各项
义务和责任。

    (2)如本公司非因不可抗力原因导致未能完全且有效地履行前述承诺事项,
则本公司承诺将采取以下各项措施予以约束:

    ①可以采取相应补救措施或提出新的承诺(相关承诺需按法律、法规、规范
性文件及公司章程、相关内控制度的规定履行相关审批和信息披露程序);


    ②在证券监管部门或司法机关最终认定本公司违反或者未实际履行前述承

诺事项且应承担赔偿责任的,本公司将依法承担相应赔偿责任。”

(八)关于减少并规范关联交易的承诺

    请参见本招股意向书“第七节 公司治理与独立性”之“九、关联(连)交

易情况”之“(五)公司规范和减少关联交易的措施”。

(九)关于避免同业竞争的承诺

    请参见本招股意向书“第七节 公司治理与独立性”之“七、发行人与控股

股东、实际控制人及其控制的企业从事相同、相似业务的情况”。

(十)关于适用法律和管辖法院的承诺

    发行人出具关于适用法律和管辖法院的承诺如下:

    “1、若因公司首次公开发行人民币普通股(A 股)并在上海证券交易所科

创板上市以及公司在科创板上市期间所发生的纠纷,将适用中华人民共和国(不

包含香港特别行政区、澳门特别行政区及台湾地区)(简称“中国”)法律,并由

                                  371
中芯国际集成电路制造有限公司                                    招股意向书


中国境内有管辖权的人民法院管辖。公司不会对上述法律适用及法院管辖提出异

议。

    2、前述第 1 条规定的‘纠纷’应包括:(1)董事、高级管理人员执行公司

职务时违反法律法规或者公司章程的规定给公司造成损失的,或者他人侵犯公司

合法权益给公司造成损失的,连续一百八十日以上单独或者合计持有公司百分之

一以上人民币普通股(A 股)的股东提起的派生诉讼;(2)因公司未按照规定披

露信息,或者公告的证券发行文件、定期报告、临时报告及其他信息披露资料存

在虚假记载、误导性陈述或者重大遗漏,致使人民币普通股(A 股)股东在证券

交易中遭受损失的,人民币普通股(A 股)的股东针对发行人及其他相关责任人

提起的民事赔偿诉讼。”

    发行人全体董事、高级管理人员承诺如下:

    “1、若本次 A 股发行上市以及在科创板上市期间发生纠纷,将适用中华人

民共和国(不包含香港特别行政区、澳门特别行政区及台湾地区)(简称“中国”)

法律,并由中国境内有管辖权的人民法院管辖。

    2、本人不会对上述法律适用及法院管辖提出异议。”

(十一)证券服务机构的相关承诺

    1、联席保荐机构(主承销商)海通证券、中金公司承诺如下:

    “因本公司为发行人首次公开发行股票制作、出具的文件有虚假记载、误导

性陈述或者重大遗漏,给投资者造成损失的,本公司将依法赔偿投资者损失。”

    2、联席主承销商国泰君安证券股份有限公司、中信建投证券股份有限公司、

国开证券股份有限公司、摩根士丹利华鑫证券有限责任公司承诺如下:

    “因本公司为发行人首次公开发行股票制作、出具的文件有虚假记载、误导

性陈述或者重大遗漏,给投资者造成损失的,本公司将依法赔偿投资者损失。”

    3、发行人律师锦天城承诺如下:




                                    372
中芯国际集成电路制造有限公司                                  招股意向书


    “本事务所为发行人首次公开发行 A 股股票并上市制作、出具的文件不存

在虚假记载、误导性陈述或者重大遗漏。若因本事务所为发行人首次公开发行 A

股股票并上市制作、出具的文件有虚假记载、误导性陈述或者重大遗漏,给投资

者造成损失的,且本所因此应承担赔偿责任的,本事务所将依法赔偿投资者损失。”

    4、审计机构普华永道承诺如下:

    “本所确认,对本所出具报告的真实性、准确性和完整性依据有关法律法规

的规定承担相应的法律责任,包括如果本所出具的上述报告有虚假记载、误导性

陈述或者重大遗漏,给投资者造成损失的,将依法赔偿投资者损失。”




                                    373
中芯国际集成电路制造有限公司                                                                  招股意向书


                               第十一节 其他重要事项

一、重大合同

(一)重大销售合同

       截至 2019 年 12 月 31 日,发行人及其控股子公司与报告期各期前五大客户

签订的销售框架协议如下:

序号        客户            销售产品及服务              签订日期                    合同期限
                                                          2012.9.3      初始有效期 1 年,除约定情形外,
 1         客户一           集成电路晶圆代工
                                                  (2019.3.25 修订)          到期后自动延长 1 年
                                                        2006.9.22       初始有效期 5 年,除约定情形外,
 2         客户二           集成电路晶圆代工
                                                 (2014.11.17 修订)          到期后自动延长 2 年
                                                        2016.12.22
 3         客户三           集成电路晶圆代工                                            6年
                                                 (2019.12.20 修订)
 4         客户四           集成电路晶圆代工            2017.10.1                       3年
                                                                        初始有效期 1 年,除约定情形外,
 5         客户五           集成电路晶圆代工            2011.6.17
                                                                              到期后自动延长 1 年


(二)重大材料采购订单

       截至 2019 年 12 月 31 日,发行人及其控股子公司正在履行的或报告期内已

履行完毕的金额在 400.00 万美元以上的材料采购订单如下:

序号               供应商                      采购产品              金额(美元)             签订日期
 1                                               硅片                    6,500,000.00         2019.5.17
 2                                               硅片                    5,005,000.00         2019.5.17
 3           材料供应商一                        硅片                    4,884,000.00          2018.2.2
 4                                               硅片                    4,381,000.00         2019.2.25
 5                                               硅片                    4,130,000.00         2019.12.10
 6                                               硅片                    5,820,800.00          2017.5.4
             材料供应商二
 7                                               硅片                    4,280,000.00         2017.1.17
 8                                               硅片                    4,920,000.00         2019.6.25
 9                                               硅片                    4,312,500.00         2018.2.11
             材料供应商三
 10                                              硅片                    4,200,000.00          2018.8.2
 11                                              光阻                    4,022,650.00         2018.6.29
 12                                      研磨垫及研磨盘                  4,539,761.25         2018.6.26
             材料供应商四
 13                                      研磨垫及研磨盘                  4,149,649.30         2017.12.29



                                                  374
中芯国际集成电路制造有限公司                                                                     招股意向书


序号                供应商                      采购产品              金额(美元)               签订日期
 14             材料供应商五                     研磨液                      4,324,400.20       2017.12.27
 15                                               硅片                       4,181,000.00        2019.5.17
 16             材料供应商六                      硅片                       4,147,500.00        2017.11.8
 17                                               硅片                       4,070,000.00        2019.5.15
 18             材料供应商七                     研磨液                      4,023,813.00       2017.12.27


(三)重大设备采购合同

       截至 2019 年 12 月 31 日,发行人及其控股子公司与报告期内累计采购额前

三的设备供应商签订的重大设备采购框架协议或单笔金额最大的订单如下:

序号           供应商             合同性质         采购产品                     签订日期           合同期限

         Applied Materials                                                      2001.4.16
  1                               框架协议    集成电路晶圆代工设备                                 2022.4.30
         Asia-Pacific., Ltd.,                                                (2019.4.30 修订)
         ASML Hong Kong
  2                               框架协议    集成电路晶圆代工设备              2018.1.1           2020.12.31
         Ltd.,
         Lam Research
  3                                    订单   集成电路晶圆代工设备             2019.12.25               —
         International Sarl


(四)重大借款合同

       截至 2019 年 12 月 31 日,发行人及其控股子公司正在履行的余额在

100,000.00 万元以上的借款合同如下:

序号                            银行                       余额(万元)                     贷款期限
 1       国家开发银行                                           129,500.00          2016.5.26-2031.5.25
 2       国家开发银行                                           215,572.50          2017.12.22-2024.12.21
 3       中国进出口银行                                         139,610.00          2019.8.30-2024.8.30
 4       中国工商银行(亚洲)                                   138,958.49          2019.8.21-2024.8.21
 5       中国进出口银行                                         120,064.60          2016.3.23-2021.3.22



二、对外担保情况

       截至 2019 年 12 月 31 日,发行人及其控股子公司不存在为发行人及其控股

子公司之外的第三方提供担保的情形。




                                                   375
中芯国际集成电路制造有限公司                                   招股意向书


三、重大诉讼或仲裁事项、重大违法行为

(一)发行人或控股子公司的重大诉讼或仲裁事项

    截至本招股意向书签署日,发行人及其控股子公司不存在尚未结案的重大诉

讼、仲裁;发行人尚未了结的主要诉讼、仲裁案件具体情况如下:

    1、与 Innovative Foundry Technologies LLC 专利诉讼

    (1)相关诉讼的具体诉讼事由、事实依据和 IFT 的具体诉求(如撤销发行
人美国专利或预计索赔金额上限)

    2019 年 12 月 20 日,IFT 在美国德克萨斯州西区联邦地区法院针对发行人及
相关子公司的下游客户提起了专利诉讼。IFT 诉称发行人的产品侵犯了其持有的
编号为 US6580122B1、US6806126B1、US6933620B2 及 US7009226B1 等 4 项美
国专利权,要求发行人及相关子公司的下游客户承担侵权赔偿责任,并支付与本
案相关的律师费用及诉讼费用。IFT 的诉讼请求主要为经济赔偿(但不涉及确切
索赔金额),不涉及请求撤销发行人美国专利等其他相关情况。

    (2)截至目前的诉讼进展和反诉情况

    2020 年 4 月 8 日,该案召开了案件管理会议,各方就案件涉及的部分程序
和实体问题发表了初步意见。2020 年 4 月 29 日,发行人向美国德克萨斯州西区
联邦地区法院提交了撤销动议(Motion to Dismiss),主张原告对发行人的起诉缺
乏事实和法律依据,请求法院撤销对发行人的起诉。

    同时,2020 年 4 月 2 日,发行人的相关子公司作为原告,主动在美国加利
福尼亚州北区联邦地区法院针对 IFT 提起了确认不侵权之反诉,陈述并诉请法院
判决确认发行人及相关子公司并未侵犯涉案专利权。此外,发行人的相关子公司
也同时在美国专利及商标局,针对本案所涉及的相关专利权,提起专利复审程序
的申请(即 Inter Parte Review)。

    (3)发行人涉及被诉产品及工艺对应的专利权的数量、范围和具体用途,
以及对应发行人收入、利润总额的比重




                                    376
中芯国际集成电路制造有限公司                                        招股意向书

    本案主要针对发行人 65nm 的产品及工艺。本案涉案专利权为 IFT 持有的集
成 电 路 制 造 领 域 编 号 为 US6580122B1 、 US6806126B1 、 US6933620B2 及
US7009226B1 等 4 项专利权。涉诉专利权的具体情况如下:

    专利号                                   主要特征
                 与器件沟道结构相关,其主要特征为通过回蚀刻器件隔离结构形成凸型
 US6580122B1
                 的器件沟道结构以增加器件有效宽度
 US6806126B1     与自对准硅化物工艺相关,其主要特征为通过双氮化硅侧墙过蚀刻增加
 US6933620B2     自对准硅化物表面积以降低电阻
                 与应力技术相关,其主要特征为采用均一厚度的氮化硅应力层作为通孔
 US7009226B1
                 蚀刻阻挡层以增加电子或空穴迁移率

    报告期内,发行人及其子公司向作为本案共同被告的 Broadcom Incorporated、
Broadcom Corporation 和 Cypress Semiconductor Corporation(共同被告中 DISH
Network Corporation 不是发行人的直接客户)等下游客户销售 65nm 工艺相关产
品的收入约占发行人报告期内营业收入总额的 1%。

    本案中,IFT 系一家 Non-Practicing Entity(以下简称―NPE‖),该类型诉讼在
美国科技类企业中较为常见,NPE 主要利用美国民事诉讼程序复杂、费用高昂
等特点,通过提起诉讼或威胁提起诉讼的方式迫使案件相对方支付专利许可费用。

    结合 NPE 诉讼的性质、以及发行人历史上曾处理过的类似 NPE 诉讼和争议
的结果及经验,本案不会对发行人持续经营构成实质影响,亦不会对发行人本次
发行上市构成实质性法律障碍。

    2、与 PDF SOLUTIONS, INC.的合同纠纷仲裁

    原告 PDF SOLUTIONS, INC.(以下简称“PDF”)就其与中芯新技术签署的
《Project Agreement》等一系列技术服务协议(以下简称“《技术服务协议》”)项
下双方权利义务的履行情况存在争议,向香港国际仲裁中心提起了仲裁。中芯新
技术于 2020 年 5 月 7 日收到了香港国际仲裁中心出具的仲裁通知书。

    PDF 要求中芯新技术支付《技术服务协议》项下的固定费用、奖励费用及逾
期费用(结算至 2020 年 4 月 30 日)共计约 2,072 万美元。此外,PDF 还提出了
按照《技术服务协议》约定的最高标准收取后续的浮动费用,并由中芯新技术承
担本案相关的仲裁费用、利息费用等要求。截至招股意向书签署日,该案件尚在
审理过程中。


                                     377
中芯国际集成电路制造有限公司                                 招股意向书

    上述案件不会对发行人持续经营构成实质影响,亦不会对发行人本次发行上
市构成实质性法律障碍。

    综上,上述诉讼、仲裁案件不会对发行人本次 A 股发行上市构成实质性障
碍;截至本招股意向书签署日,发行人及其子公司不存在未决的重大诉讼、仲裁
案件。


(二)发行人董事、高级管理人员和核心技术人员的刑事诉讼、重大诉讼或仲

裁事项

    截至本招股意向书签署日,公司的董事、高级管理人员和核心技术人员不存
在作为一方当事人可能对发行人产生影响的刑事诉讼、重大诉讼或仲裁事项。


(三)董事、高级管理人员和核心技术人员最近 3 年涉及行政处罚、被司法机

关立案侦查、被中国证监会立案调查情况

    截至本招股意向书签署日,公司董事、高级管理人员和核心技术人员最近 3
年不存在涉及行政处罚、被司法机关立案侦查、被中国证监会立案调查情况。




                                 378
中芯国际集成电路制造有限公司                                          招股意向书


                               第十二节 声明

                  发行人全体董事、高级管理人员声明

    本公司全体董事、高级管理人员承诺本招股意向书不存在虚假记载、误导性
陈述或重大遗漏,并对其真实性、准确性、完整性承担个别和连带的法律责任。

    全体执行董事:



    ____________       ____________         ____________       ____________
       周子学         ZHAO HAIJUN               梁孟松              高永岗
                         (赵海军)


    全体非执行董事授权代表:


    ____________
       高永岗


    非董事的高级管理人员:


    ____________
  ZHOU MEISHENG
      (周梅生)




                           Semiconductor Manufacturing International Corporation

                                            (中芯国际集成电路制造有限公司)

                                                               年     月      日




                                      379
中芯国际集成电路制造有限公司                                         招股意向书


                               声明及授权书

    Semiconductor Manufacturing International Corporation(中芯国际集成电路制
造有限公司)(“公司”)拟首次公开发行人民币普通股(A 股)并在上海证券
交易所科创板上市(“本次科创板上市”)。本人童国华作为公司非执行董事,
已充分知悉公司本次科创板上市的相关信息,该等信息足以让本人就本次科创板
上市履行董事的决策职责,并让本人了解在本次科创板上市申报过程中作为董事
应承担的相应法律责任。

    本人特此授权公司执行董事高永岗先生作为本人的授权代表,代表本人签署
在本次科创板上市辅导、申报、审核及实施过程中需要由本人以公司董事身份签
署的一切文件(以下简称“待签署文件”),包括但不限于任何声明、承诺、决
议、会议记录、协议等(以下简称“本次授权”)。对于前述待签署文件,本人
确认已经有充分机会了解该等文件的内容,且对待签署文件的内容无异议,由此
产生的法律责任将由本人自行承担。

    特别的,本人确认包括招股意向书在内的公司本次科创板上市全套申请文件
(包括不时做出的修订)不存在虚假记载、误导性陈述或重大遗漏,并对其真实
性、准确性、完整性承担个别和连带的法律责任(以下简称“特别承诺”)。

    本声明及承诺书于签署日即生效。本次授权有效期自本声明及授权书出具之
日起,至本次科创板上市实施完成之日(即公司在本次科创板上市中公开发行的
人民币普通股在上海证券交易所科创板公开交易首日)或本声明及授权出具后十
二个月届满之日(以孰早之日为准)止。本次授权有效期届满不影响本人以上做
出的特别承诺的效力。


                                           授权人签字:


                                                                 童国华


                                                            年      月     日




                                    380
中芯国际集成电路制造有限公司                                         招股意向书


                               声明及授权书

    Semiconductor Manufacturing International Corporation(中芯国际集成电路制
造有限公司)(“公司”)拟首次公开发行人民币普通股(A 股)并在上海证券
交易所科创板上市(“本次科创板上市息,该等信息足以让本人就本次科创板上
市履行董事的决策职责,并让本人了解在本次科创板上市申报过程中作为董事应
承担的相应法律责任。

    本人特此授权公司执行董事高永岗先生作为本人的授权代表,代表本人签署
在本次科创板上市辅导、申报、审核及实施过程中需要由本人以公司董事身份签
署的一切文件(以下简称“待签署文件”),包括但不限于任何声明、承诺、决
议、会议记录、协议等(以下简称“本次授权”)。对于前述待签署文件,本人
确认已经有充分机会了解该等文件的内容,且对待签署文件的内容无异议,由此
产生的法律责任将由本人自行承担。

    特别的,本人确认包括招股意向书在内的公司本次科创板上市全套申请文件
(包括不时做出的修订)不存在虚假记载、误导性陈述或重大遗漏,并对其真实
性、准确性、完整性承担个别和连带的法律责任(以下简称“特别承诺”)。

    本声明及承诺书于签署日即生效。本次授权有效期自本声明及授权书出具之
日起,至本次科创板上市实施完成之日(即公司在本次科创板上市中公开发行的
人民币普通股在上海证券交易所科创板公开交易首日)或本声明及授权出具后十
二个月届满之日(以孰早之日为准)止。本次授权有效期届满不影响本人以上做
出的特别承诺的效力。


                                           授权人签字:


                                                                 陈山枝


                                                            年      月     日




                                    381
中芯国际集成电路制造有限公司                                          招股意向书


                               声明及授权书

    Semiconductor Manufacturing International Corporation(中芯国际集成电路制
造有限公司)(“公司”)拟首次公开发行人民币普通股(A 股)并在上海证券
交易所科创板上市(“本次科创板上市”)。本人路军作为公司非执行董事,已
充分知悉公司本次科创板上市的相关信息,该等信息足以让本人就本次科创板上
市履行董事的决策职责,并让本人了解在本次科创板上市申报过程中作为董事应
承担的相应法律责任。

    本人特此授权公司执行董事高永岗先生作为本人的授权代表,代表本人签署
在本次科创板上市辅导、申报、审核及实施过程中需要由本人以公司董事身份签
署的一切文件(以下简称“待签署文件”),包括但不限于任何声明、承诺、决
议、会议记录、协议等(以下简称“本次授权”)。对于前述待签署文件,本人
确认已经有充分机会了解该等文件的内容,且对待签署文件的内容无异议,由此
产生的法律责任将由本人自行承担。

    特别的,本人确认包括招股意向书在内的公司本次科创板上市全套申请文件
(包括不时做出的修订)不存在虚假记载、误导性陈述或重大遗漏,并对其真实
性、准确性、完整性承担个别和连带的法律责任(以下简称“特别承诺”)。

    本声明及承诺书于签署日即生效。本次授权有效期自本声明及授权书出具之
日起,至本次科创板上市实施完成之日(即公司在本次科创板上市中公开发行的
人民币普通股在上海证券交易所科创板公开交易首日)或本声明及授权出具后十
二个月届满之日(以孰早之日为准)止。本次授权有效期届满不影响本人以上做
出的特别承诺的效力。


                                           授权人签字:


                                                                 路   军


                                                            年        月    日




                                    382
中芯国际集成电路制造有限公司                                          招股意向书


                               声明及授权书

    Semiconductor Manufacturing International Corporation(中芯国际集成电路制
造有限公司)(“公司”)拟首次公开发行人民币普通股(A 股)并在上海证券
交易所科创板上市(“本次科创板上市”)。本人任凯作为公司非执行董事,已
充分知悉公司本次科创板上市的相关信息,该等信息足以让本人就本次科创板上
市履行董事的决策职责,并让本人了解在本次科创板上市申报过程中作为董事应
承担的相应法律责任。

    本人特此授权公司执行董事高永岗先生作为本人的授权代表,代表本人签署
在本次科创板上市辅导、申报、审核及实施过程中需要由本人以公司董事身份签
署的一切文件(以下简称“待签署文件”),包括但不限于任何声明、承诺、决
议、会议记录、协议等(以下简称“本次授权”)。对于前述待签署文件,本人
确认已经有充分机会了解该等文件的内容,且对待签署文件的内容无异议,由此
产生的法律责任将由本人自行承担。

    特别的,本人确认包括招股意向书在内的公司本次科创板上市全套申请文件
(包括不时做出的修订)不存在虚假记载、误导性陈述或重大遗漏,并对其真实
性、准确性、完整性承担个别和连带的法律责任(以下简称“特别承诺”)。

    本声明及承诺书于签署日即生效。本次授权有效期自本声明及授权书出具之
日起,至本次科创板上市实施完成之日(即公司在本次科创板上市中公开发行的
人民币普通股在上海证券交易所科创板公开交易首日)或本声明及授权出具后十
二个月届满之日(以孰早之日为准)止。本次授权有效期届满不影响本人以上做
出的特别承诺的效力。


                                           授权人签字:


                                                                 任   凯


                                                            年        月    日




                                    383
中芯国际集成电路制造有限公司                                          招股意向书


                               声明及授权书

    Semiconductor Manufacturing International Corporation(中芯国际集成电路制
造有限公司)(“公司”)拟首次公开发行人民币普通股(A 股)并在上海证券
交易所科创板上市(“本次科创板上市”)。本人周杰作为公司非执行董事,已
充分知悉公司本次科创板上市的相关信息,该等信息足以让本人就本次科创板上
市履行董事的决策职责,并让本人了解在本次科创板上市申报过程中作为董事应
承担的相应法律责任。

    本人特此授权公司执行董事高永岗先生作为本人的授权代表,代表本人签署
在本次科创板上市辅导、申报、审核及实施过程中需要由本人以公司董事身份签
署的一切文件(以下简称“待签署文件”),包括但不限于任何声明、承诺、决
议、会议记录、协议等(以下简称“本次授权”)。对于前述待签署文件,本人
确认已经有充分机会了解该等文件的内容,且对待签署文件的内容无异议,由此
产生的法律责任将由本人自行承担。

    特别的,本人确认包括招股意向书在内的公司本次科创板上市全套申请文件
(包括不时做出的修订)不存在虚假记载、误导性陈述或重大遗漏,并对其真实
性、准确性、完整性承担个别和连带的法律责任(以下简称“特别承诺”)。

    本声明及承诺书于签署日即生效。本次授权有效期自本声明及授权书出具之
日起,至本次科创板上市实施完成之日(即公司在本次科创板上市中公开发行的
人民币普通股在上海证券交易所科创板公开交易首日)或本声明及授权出具后十
二个月届满之日(以孰早之日为准)止。本次授权有效期届满不影响本人以上做
出的特别承诺的效力。


                                           授权人签字:


                                                                 周   杰


                                                            年        月    日




                                    384
中芯国际集成电路制造有限公司                                                 招股意向书


                                  声明及授权书

                      Declaration and Authorization

     Semiconductor Manufacturing International Corporation(中芯国际集成电路制
造有限公司)(“公司”)拟首次公开发行人民币普通股(A 股)并在上海证券
交易所科创板上市(“本次科创板上市”)。本人 WILLIAM TUDOR BROWN 作
为公司独立非执行董事,已充分知悉公司本次科创板上市的相关信息,该等信息
足以让本人就本次科创板上市履行董事的决策职责,并让本人了解在本次科创板
上市申报过程中作为董事应承担的相应法律责任。

     Semiconductor Manufacturing International Corporation (hereinafter referred to
as “the Company”) is planning an initial public offering (IPO) of RMB common
shares (A shares) and listing on the Science and Technology Innovation Board of
the Shanghai Stock Exchange (hereinafter referred to as the “Listing”). I,
WILLIAM TUDOR BROWN as an Independent Non-executive Director of the
Company, have fully understood the relevant information on the Listing, and the
information is sufficient for me to fulfill the Director’s decision-making
responsibilities regarding the Listing and to understand the corresponding legal
responsibilities that I should assume as a Director in the process of the application for
the Listing.

     本人特此授权公司执行董事高永岗先生作为本人的授权代表,代表本人签署
在本次科创板上市涉及上市前辅导、申报、审核及实施过程中需要由本人以公司
董事身份签署的一切文件(以下简称“待签署文件”),包括但不限于任何声明、
承诺、决议、会议记录、协议等(以下简称“本次授权”)。对于前述待签署文
件,本人确认已经有充分机会了解该等文件的内容,且对待签署文件的内容无异
议,由此产生的法律责任将由本人自行承担。
     I hereby authorize Mr. Gao Yonggang (高永岗), the Executive Director of the
Company, as my authorized representative to sign, on behalf of me, all documents that
need to be signed by me as a Director of the Company in the process of pre-listing
coaching, application, examination and implementation of the Listing (hereinafter
referred to as the “Documents to be Signed”), including but not limited to any
statement, commitment, resolution, meeting minutes, agreement, etc. (hereinafter


                                          385
中芯国际集成电路制造有限公司                                                    招股意向书


referred to as the “Authorization”). For the Documents to be Signed, I confirm that
I have had full opportunity to understand the contents of these documents, and I have
no objection to the contents of these documents and will bear the legal liabilities
arising therefrom.

     特别的,本人确认包括招股意向书在内的公司本次科创板上市全套申请文件
(包括不时做出的修订)不存在虚假记载、误导性陈述或重大遗漏,并对其真实
性、准确性、完整性承担个别和连带的法律责任(以下简称“特别承诺”)。

     In particular, I confirm that there is no false record, misleading statement or
material omission in the full set of application documents (including the amendments
made from time to time), including the prospectus, for the Listing, and I will bear
individual and joint legal liabilities for the authenticity, accuracy and integrity of these
documents (hereinafter referred to as “Special Commitment”).

     本声明及承诺书于签署日即生效。本次授权有效期自本声明及授权书出具之
日起,至本次科创板上市实施完成之日(即公司在本次科创板上市中公开发行的
人民币普通股在上海证券交易所科创板公开交易首日)或本声明及授权出具后十
二个月届满之日(以孰早之日为准)止。本次授权有效期届满不影响本人以上做
出的特别承诺的效力。

     This letter of declaration and authorization shall come into force on the date of
signing. The period of validity of the Authorization shall be from the date of issuance
of this letter of declaration and authorization to the completion date of the Listing(i.e.
the first day of public trading of RMB common shares issued by the Company in this
Listing on the Sci-Tech Board) or the expiration date of twelve months after the
issuance of this letter of declaration and authorization (whichever is earlier). The
expiration of the Authorization does not affect the validity of the Special
Commitment.




                                           Authorized Signature: __________________

                                                           WILLIAM TUDOR BROWN

                                                             Date: __________________


                                            386
中芯国际集成电路制造有限公司                                       招股意向书


                               声明及授权书

    Semiconductor Manufacturing International Corporation(中芯国际集成电路制
造有限公司)(“公司”)拟首次公开发行人民币普通股(A 股)并在上海证券
交易所科创板上市(“本次科创板上市”)。本人 JINGSHENG JASON CONG(丛
京生)作为公司独立非执行董事,已充分知悉公司本次科创板上市的相关信息,

该等信息足以让本人就本次科创板上市履行董事的决策职责,并让本人了解在本
次科创板上市申报过程中作为董事应承担的相应法律责任。

    本人特此授权公司执行董事高永岗先生作为本人的授权代表,代表本人签署
在本次科创板上市辅导、申报、审核及实施过程中需要由本人以公司董事身份签
署的一切文件(以下简称“待签署文件”),包括但不限于任何声明、承诺、决
议、会议记录、协议等(以下简称“本次授权”)。对于前述待签署文件,本人
确认已经有充分机会了解该等文件的内容,且对待签署文件的内容无异议,由此
产生的法律责任将由本人自行承担。

    特别的,本人确认包括招股意向书在内的公司本次科创板上市全套申请文件
(包括不时做出的修订)不存在虚假记载、误导性陈述或重大遗漏,并对其真实
性、准确性、完整性承担个别和连带的法律责任(以下简称“特别承诺”)。

    本声明及承诺书于签署日即生效。本次授权有效期自本声明及授权书出具之
日起,至本次科创板上市实施完成之日(即公司在本次科创板上市中公开发行的
人民币普通股在上海证券交易所科创板公开交易首日)或本声明及授权出具后十
二个月届满之日(以孰早之日为准)止。本次授权有效期届满不影响本人以上做
出的特别承诺的效力。


                                           授权人签字:

                                                 JINGSHENG JASON CONG

                                                           (丛京生)


                                                            年    月     日



                                    387
中芯国际集成电路制造有限公司                                         招股意向书


                               声明及授权书

    Semiconductor Manufacturing International Corporation(中芯国际集成电路制
造有限公司)(“公司”)拟首次公开发行人民币普通股(A 股)并在上海证券
交易所科创板上市(“本次科创板上市”)。本人刘遵义作为公司独立非执行董
事,已充分知悉公司本次科创板上市的相关信息,该等信息足以让本人就本次科
创板上市履行董事的决策职责,并让本人了解在本次科创板上市申报过程中作为
董事应承担的相应法律责任。

    本人特此授权公司执行董事高永岗先生作为本人的授权代表,代表本人签署
在本次科创板上市辅导、申报、审核及实施过程中需要由本人以公司董事身份签
署的一切文件(以下简称“待签署文件”),包括但不限于任何声明、承诺、决
议、会议记录、协议等(以下简称“本次授权”)。对于前述待签署文件,本人
确认已经有充分机会了解该等文件的内容,且对待签署文件的内容无异议,由此
产生的法律责任将由本人自行承担。

    特别的,本人确认包括招股意向书在内的公司本次科创板上市全套申请文件
(包括不时做出的修订)不存在虚假记载、误导性陈述或重大遗漏,并对其真实
性、准确性、完整性承担个别和连带的法律责任(以下简称“特别承诺”)。


    本声明及承诺书于签署日即生效。本次授权有效期自本声明及授权书出具之

日起,至本次科创板上市实施完成之日(即公司在本次科创板上市中公开发行的

人民币普通股在上海证券交易所科创板公开交易首日)或本声明及授权出具后十

二个月届满之日(以孰早之日为准)止。本次授权有效期届满不影响本人以上做

出的特别承诺的效力。


                                           授权人签字:


                                                                 刘遵义


                                                            年      月     日




                                    388
中芯国际集成电路制造有限公司                                        招股意向书


                               声明及授权书

    Semiconductor Manufacturing Iternational Corporation(中芯国际集成电路制
造有限公司)(“公司”)拟首次公开发行人民币普通股(A 股)并在上海证券
交易所科创板上市(“本次科创板上市”)。本人范仁达作为公司独立非执行董
事,已充分知悉公司本次科创板上市的相关信息,该等信息足以让本人就本次科
创板上市履行董事的决策职责,并让本人了解在本次科创板上市申报过程中作为
董事应承担的相应法律责任。

    本人特此授权公司执行董事高永岗先生作为本人的授权代表,代表本人签署
在本次科创板上市辅导、申报、审核及实施过程中需要由本人以公司董事身份签
署的一切文件(以下简称“待签署文件”),包括但不限于任何声明、承诺、决
议、会议记录、协议等(以下简称“本次授权”)。对于前述待签署文件,本人
确认已经有充分机会了解该等文件的内容,且对待签署文件的内容无异议,由此
产生的法律责任将由本人自行承担。

    特别的,本人确认包括招股意向书在内的公司本次科创板上市全套申请文件
(包括不时做出的修订)不存在虚假记载、误导性陈述或重大遗漏,并对其真实
性、准确性、完整性承担个别和连带的法律责任(以下简称“特别承诺”)。

    本声明及承诺书于签署日即生效。本次授权有效期自本声明及授权书出具之
日起,至本次科创板上市实施完成之日(即公司在本次科创板上市中公开发行的
人民币普通股在上海证券交易所科创板公开交易首日)或本声明及授权出具后十
二个月届满之日(以孰早之日为准)止。本次授权有效期届满不影响本人以上做
出的特别承诺的效力。


                                           授权人签字:


                                                                范仁达


                                                           年      月     日




                                    389
中芯国际集成电路制造有限公司                                       招股意向书


                               声明及授权书
    Semiconductor Manufacturing International Corporation(中芯国际集成电路制
造有限公司)(“公司”)拟首次公开发行人民币普通股(A 股)并在上海证券
交易所科创板上市(“本次科创板上市”)。本人 KWANG-LEEI YOUNG(杨光
磊)作为公司独立非执行董事,已充分知悉公司本次科创板上市的相关信息,该
等信息足以让本人就本次科创板上市履行董事的决策职责,并让本人了解在本次
科创板上市申报过程中作为董事应承担的相应法律责任。
    本人特此授权公司执行董事高永岗先生作为本人的授权代表,代表本人签署
在本次科创板上市辅导、申报、审核及实施过程中需要由本人以公司董事身份签
署的一切文件(以下简称“待签署文件”),包括但不限于任何声明、承诺、决
议、会议记录、协议等(以下简称“本次授权”)。对于前述待签署文件,本人
确认已经有充分机会了解该等文件的内容,且对待签署文件的内容无异议,由此
产生的法律责任将由本人自行承担。

    特别的,本人确认包括招股意向书在内的公司本次科创板上市全套申请文件
(包括不时做出的修订)不存在虚假记载、误导性陈述或重大遗漏,并对其真实
性、准确性、完整性承担个别和连带的法律责任(以下简称“特别承诺”)。

    本声明及承诺书于签署日即生效。本次授权有效期自本声明及授权书出具之
日起,至本次科创板上市实施完成之日(即公司在本次科创板上市中公开发行的
人民币普通股在上海证券交易所科创板公开交易首日)或本声明及授权出具后十
二个月届满之日(以孰早之日为准)止。本次授权有效期届满不影响本人以上做
出的特别承诺的效力。


                                           授权人签字:


                                                     KWANG-LEEI YOUNG

                                                             (杨光磊)


                                                            年    月      日




                                    390
中芯国际集成电路制造有限公司                                  招股意向书


                         发行人主要股东声明



    本公司承诺本招股意向书不存在虚假记载、误导性陈述或重大遗漏,并对其
真实性、准确性、完整性承担个别和连带的法律责任。




                                         大唐控股(香港)投资有限公司




                                            执行董事:_______________
                                                            夏存海




                                                       年      月    日




                                 391
中芯国际集成电路制造有限公司                                  招股意向书


                      发行人主要间接股东声明



    本公司承诺本招股意向书不存在虚假记载、误导性陈述或重大遗漏,并对其
真实性、准确性、完整性承担个别和连带的法律责任。




                                         大唐电信科技产业控股有限公司




                                         法定代表人:________________
                                                            童国华




                                                       年      月    日




                                 392
中芯国际集成电路制造有限公司                                  招股意向书


                         发行人主要股东声明



    本公司承诺本招股意向书不存在虚假记载、误导性陈述或重大遗漏,并对其
真实性、准确性、完整性承担个别和连带的法律责任。




                                             鑫芯(香港)投资有限公司




                                            执行董事:_______________
                                                            吴丰硕




                                                       年      月    日




                                 393
中芯国际集成电路制造有限公司                                  招股意向书


                      发行人主要间接股东声明



    本公司承诺本招股意向书不存在虚假记载、误导性陈述或重大遗漏,并对其
真实性、准确性、完整性承担个别和连带的法律责任。




                                             巽鑫(上海)投资有限公司




                                         法定代表人:________________
                                                            吴丰硕




                                                       年      月    日




                                 394
中芯国际集成电路制造有限公司                                       招股意向书


                     保荐机构(主承销商)声明

    本公司已对招股意向书进行了核查,确认不存在虚假记载、误导性陈述或重

大遗漏,并对其真实性、准确性、完整性承担相应的法律责任。




 项目协办人签名:


                               徐亦潇


 保荐代表人签名:


                               郑   瑜         陈   城


 保荐机构总经理签名:


                               瞿秋平


 保荐机构董事长、法定代表人签名:




                               周   杰




                                                         海通证券股份有限公司


                                                             年     月     日




                                         395
中芯国际集成电路制造有限公司                                 招股意向书


                     保荐机构(主承销商)声明

    本人已认真阅读招股意向书的全部内容,确认招股意向书不存在虚假记载、

误导性陈述或者重大遗漏,并对招股意向书真实性、准确性、完整性、及时性承

担相应法律责任。




 保荐机构总经理签名:


                               瞿秋平


 保荐机构董事长签名:


                               周 杰


                                                  海通证券股份有限公司


                                                      年     月      日




                                        396
中芯国际集成电路制造有限公司                                         招股意向书


                     保荐机构(主承销商)声明

    本公司已对招股意向书进行了核查,确认不存在虚假记载、误导性陈述或重
大遗漏,并对其真实性、准确性、完整性承担相应的法律责任。



    项目协办人:_______________
                      孔德明




    保荐代表人:_______________           _______________
                      魏先勇                   李扬




    保荐机构总经理:_______________
                           黄朝晖




    保荐机构董事长、法定代表人:_______________
                                          沈如军




                                                      中国国际金融股份有限公司




                                                                年    月    日




                                    397
中芯国际集成电路制造有限公司                                        招股意向书


                     保荐机构(主承销商)声明

    本人已认真阅读 Semiconductor Manufacturing International Corporation(中芯
国际集成电路制造有限公司)招股意向书的全部内容,确认招股意向书不存在虚
假记载、误导性陈述或者重大遗漏,并对招股意向书真实性、准确性、完整性、
及时性承担相应法律责任。




    保荐机构总经理:_______________
                           黄朝晖




    保荐机构董事长:_______________
                           沈如军




                                                  中国国际金融股份有限公司




                                                              年    月     日




                                     398
中芯国际集成电路制造有限公司                                 招股意向书


                           联席主承销商声明

    本公司已对招股意向书进行了核查,确认不存在虚假记载、误导性陈述或者
重大遗漏,并对招股意向书真实性、准确性、完整性承担相应法律责任。




    法定代表人:_______________
                      贺   青




                                             国泰君安证券股份有限公司




                                                       年    月     日




                                  399
中芯国际集成电路制造有限公司                                 招股意向书


                           联席主承销商声明

    本公司已对招股意向书进行了核查,确认不存在虚假记载、误导性陈述或者
重大遗漏,并对招股意向书真实性、准确性、完整性承担相应法律责任。




    法定代表人:_______________
                      王常青




                                             中信建投证券股份有限公司




                                                       年    月     日




                                  400
中芯国际集成电路制造有限公司                                 招股意向书


                           联席主承销商声明

    本公司已对招股意向书进行了核查,确认不存在虚假记载、误导性陈述或者
重大遗漏,并对招股意向书真实性、准确性、完整性承担相应法律责任。




    法定代表人:_______________
                      张宝荣




                                                 国开证券股份有限公司




                                                       年    月     日




                                  401
中芯国际集成电路制造有限公司                                 招股意向书


                           联席主承销商声明

    本公司已对招股意向书进行了核查,确认不存在虚假记载、误导性陈述或者
重大遗漏,并对招股意向书真实性、准确性、完整性承担相应法律责任。




    法定代表人:_______________
                      钱   菁




                                        摩根士丹利华鑫证券有限责任公司




                                                        年    月    日




                                  402
中芯国际集成电路制造有限公司                                          招股意向书


                               发行人律师声明

    本 所 及 经 办 律 师 已 阅 读 Semiconductor Manufacturing International
Corporation(中芯国际集成电路制造有限公司)首次公开发行 A 股股票并在科创
板上市项目招股意向书,确认招股意向书与本所出具的法律意见书无矛盾之处。
本所及经办律师对发行人在招股意向书中引用的法律意见书的内容无异议,确认
招股意向书不致因上述内容而出现虚假记载、误导性陈述或重大遗漏,并对其真
实性、准确性、完整性承担相应的法律责任。




    经办律师:_______________           _______________       _______________
                    鲍方舟                    王   立                沈    诚


               _______________
                    杨继伟




    律师事务所负责人:_______________
                               顾功耘




                                                        上海市锦天城律师事务所




                                                                年        月    日




                                        403
中芯国际集成电路制造有限公司                                      招股意向书


        为本次发行承担审计业务的会计师事务所声明

    本所及签字注册会计师已阅读中芯国际集成电路制造有限公司首次公开发
行股票并在科创板上市招股意向书,确认招股意向书中引用的有关经审计的
2017 年度、2018 年度及 2019 年度的申报财务报表、经审核的内部控制审核报告
所针对的于 2019 年 12 月 31 日的财务报告内部控制及经核对的 2017 年度、2018
年度及 2019 年度非经常性损益明细表的内容,与本所出具的上述审计报告、内
部控制审核报告及非经常性损益明细表专项报告的内容无矛盾之处。本所及签字
注册会计师对发行人在招股意向书中引用的上述审计报告、内部控制审核报告及
非经常性损益明细表专项报告的内容无异议,确认招股意向书不致因完整准确地
引用上述报告而导致在相应部分出现虚假记载、误导性陈述或重大遗漏,并对本
所出具的上述报告的真实性、准确性和完整性依据有关法律法规的规定承担相应
的法律责任。


    签字注册会计师:_______________        _______________
                           高建斌              胡玉琢




    会计师事务所负责人:_______________
                               李   丹




                                普华永道中天会计师事务所(特殊普通合伙)




                                                             年   月     日




                                     404
中芯国际集成电路制造有限公司                                 招股意向书


                               第十三节 附件
    (一)发行保荐书;

    (二)上市保荐书;

    (三)法律意见书;

    (四)财务报表及审计报告;

    (五)公司章程(A 股上市后适用稿);

    (六)发行人及其他责任主体作出的与发行人本次发行上市相关的承诺事项;

    (七)发行人审计报告基准日至招股意向书签署日之间的相关财务报表及审
阅报告;

    (八)盈利预测报告及审核报告(如有);

    (九)内部控制审核报告;

    (十)经注册会计师鉴证的非经常性损益明细表;

    (十一)中国证监会同意发行人本次公开发行注册的文件;

    (十二)其他与本次发行有关的重要文件。




                                    405
中芯国际集成电路制造有限公司                                                                                                                        招股意向书




     附表一:主要专利情况14

 序                                                                                                   申请国    专利                             取得方式   他项
                           专利名称                           专利号      申请号           专利权人                     申请日       公告日         15
 号                                                                                                   家/地区   类型                                        权利
         Structure and method of making strained
         semiconductor cmos transistors having
     1                                                     US6891192   US10/604607     中芯国际        美国     发明   2003-08-04   2005-05-10   继受取得   无
         lattice-mismatched semiconductor regions
         underlying source and drain regions
     2   Hydrogenated oxidized silicon carbon material     US6953984   US10/827065     中芯国际        美国     发明   2004-04-19   2005-10-11   继受取得   无
         Method of making strained semiconductor
         transistors having lattice-mismatched
     3                                                     US7396714   US11/820303     中芯国际        美国     发明   2007-06-18   2008-07-08   继受取得   无
         semiconductor regions underlying source and
         drain regions
         Method for treatment of samples for auger
     4   electronic spectrometer (aes) in the              US7927893   US12/364977     中芯上海        美国     发明   2009-02-03   2011-04-19   原始取得   无
         manufacture of integrated circuits
         Method and system for calibrating exposure                                    中芯上海、中
     5                                                     US8804100   US12/398143                     美国     发明   2009-03-04   2014-08-12   原始取得   无
         system for manufacturing of integrated circuits                               芯北京
         Method for forming low dielectric constant
     6                                                     US7910475   US12/505414     中芯上海        美国     发明   2009-07-17   2011-03-22   原始取得   无
         fluorine-doped layers
     7   Charge pump circuit                               US8120413   US12/542533     中芯北京        美国     发明   2009-08-17   2012-02-21   原始取得   无


14
   本附表一系截至报告期末登记在发行人及其子公司名下的与其生产经营相关的主要专利的部分列示。本附表一所列第 728 条至第 743 条取得方式为继受取得的专利属于由发行
人及其子公司自主研发、自主向有关国家或地区的专利主管部门申请相应专利并于获得该专利后将该专利转让给附表一所列的当前专利权人的情形。
15
   本附表一中取得方式为继受取得系指在专利授权后发生专利权人著录信息变更的情况,本附表一中取得方式为原始取得系指在专利授权后未发生专利权人著录信息变更的情况。



                                                                                     406
中芯国际集成电路制造有限公司                                                                                                                    招股意向书



序                                                                                                申请国    专利                             取得方式   他项
                         专利名称                         专利号      申请号           专利权人                     申请日       公告日         15
号                                                                                                家/地区   类型                                        权利
     Method for making split dual gate field effect
 8                                                     US8093114   US12/549192     中芯上海        美国     发明   2009-08-27   2012-01-10   原始取得   无
     transistor
     Method for collecting optical proximity
 9                                                     US7820346   US12/573753     中芯上海        美国     发明   2009-10-05   2010-10-26   原始取得   无
     correction parameter
10   Contact etch stop film                            US7939915   US12/578374     中芯上海        美国     发明   2009-10-13   2011-05-10   原始取得   无
     System and method for detecting one or more
     winding paths for patterns on a reticle for the                               中芯上海、中
11                                                     US8762902   US12/649278                     美国     发明   2009-12-29   2014-06-24   原始取得   无
     manufacture of semiconductor integrated                                       芯北京
     circuits
     Method for manufacturing nano-crystalline
                                                                                   中芯上海、中
12   silicon material for semiconductor integrated     US8748260   US12/704495                     美国     发明   2010-02-11   2014-06-10   原始取得   无
                                                                                   芯北京
     circuits
13   Pad structure and test method                     US8487641   US12/792533     中芯北京        美国     发明   2010-06-02   2013-07-16   原始取得   无
     Application of millisecond heating source for
14                                                     US8148272   US12/842017     中芯上海        美国     发明   2010-07-22   2012-04-03   原始取得   无
     surface treatment
     Integration scheme for strained source/drain
15                                                     US8058120   US12/845676     中芯上海        美国     发明   2010-07-28   2011-11-15   原始取得   无
     cmos using oxide hard mask
     Method and structure for self aligned contact                                 中芯上海、中
16                                                     US8507378   US12/848068                     美国     发明   2010-07-30   2013-08-13   原始取得   无
     for integrated circuits                                                       芯北京
17   Method for forming contact hole structure         US8377821   US12/850349     中芯北京        美国     发明   2010-08-04   2013-02-19   原始取得   无
     Resistive random access memory and the
18                                                     US8451646   US12/854491     中芯北京        美国     发明   2010-08-11   2013-05-28   原始取得   无
     method of operating the same
     Method for circuit layout and rapid thermal
19                                                     US8392863   US12/877877     中芯北京        美国     发明   2010-09-08   2013-03-05   原始取得   无
     annealing method for semiconductor apparatus




                                                                                 407
中芯国际集成电路制造有限公司                                                                                                                   招股意向书



序                                                                                               申请国    专利                             取得方式   他项
                        专利名称                         专利号      申请号           专利权人                     申请日       公告日         15
号                                                                                               家/地区   类型                                        权利
20   Burn-in testing system                           US7944223   US12/882051     中芯上海        美国     发明   2010-09-14   2011-05-17   原始取得   无
     Method for manufacturing nano-crystalline
                                                                                  中芯上海、中
21   silicon material from chloride chemistries for   US8685826   US12/884057                     美国     发明   2010-09-16   2014-04-01   原始取得   无
                                                                                  芯北京
     the semiconductor integrated circuits
     Non-volatile memory having nano crystalline                                  中芯上海、中
22                                                    US8815680   US12/886534                     美国     发明   2010-09-20   2014-08-26   原始取得   无
     silicon hillocks floating gate                                               芯北京
     Surrounding stacked gate multi-gate fet                                      中芯上海、中
23                                                    US8513727   US12/892879                     美国     发明   2010-09-28   2013-08-20   原始取得   无
     structure nonvolatile memory device                                          芯北京
     System and method for integrated circuits with                               中芯上海、中
24                                                    US8884363   US12/892881                     美国     发明   2010-09-28   2014-11-11   原始取得   无
     cylindrical gate structures                                                  芯北京
                                                                                  中芯上海、中
25   Electroforming technique for mask formation      US8748313   US12/897618                     美国     发明   2010-10-04   2014-06-10   原始取得   无
                                                                                  芯北京
                                                                                  中芯上海、中
26   Method for copper hillock reduction              US8815615   US12/938158                     美国     发明   2010-11-02   2014-08-26   原始取得   无
                                                                                  芯北京
     Method for manufacturing twin bit structure                                  中芯上海、中
27                                                    US8546224   US12/965808                     美国     发明   2010-12-10   2013-10-01   原始取得   无
     cell with aluminum oxide layer                                               芯北京
     Method for manufacturing twin bit structure                                  中芯上海、中
28                                                    US9064804   US12/968264                     美国     发明   2010-12-14   2015-06-23   原始取得   无
     cell with silicon nitride layer                                              芯北京
     Method for manufacturing twin bit structure
                                                                                  中芯上海、中
29   cell with hafnium oxide and nano-crystalline     US8598001   US12/978473                     美国     发明   2010-12-24   2013-12-03   原始取得   无
                                                                                  芯北京
     silicon layer
     Hybrid integrated semiconductor tri-gate and
30   split dual-gate finfet devices and method for    US9202762   US12/986130     中芯上海        美国     发明   2011-01-06   2015-12-01   原始取得   无
     manufacturing




                                                                                408
中芯国际集成电路制造有限公司                                                                                                                   招股意向书



序                                                                                               申请国    专利                             取得方式   他项
                       专利名称                          专利号      申请号           专利权人                     申请日       公告日         15
号                                                                                               家/地区   类型                                        权利
     Automatic identification of systematic
31                                                    US8312395   US13/007556     中芯上海        美国     发明   2011-01-14   2012-11-13   原始取得   无
     repeating defects in semiconductor production
     Amorphous silicon monos or mas memory cell
32                                                    US8247864   US13/013229     中芯上海        美国     发明   2011-01-25   2012-08-21   原始取得   无
     structure with otp function
33   On-chip plasma charging sensor                   US8796685   US13/035895     中芯上海        美国     发明   2011-02-25   2014-08-05   原始取得   无
     System and method of selective optical pattern
34   enhancement for semiconductor                    US8541147   US13/035900     中芯上海        美国     发明   2011-02-25   2013-09-24   原始取得   无
     manufacturing
     System and method for test pattern for
35                                                    US8501376   US13/046733     中芯上海        美国     发明   2011-03-12   2013-08-06   原始取得   无
     lithography process
     Dual damascene copper process using a
36                                                    US8685853   US13/093809     中芯上海        美国     发明   2011-04-25   2014-04-01   原始取得   无
     selected mask
     Method and structure for fabricating
37   dark-periphery mask for the manufacture of       US8404409   US13/100285     中芯上海        美国     发明   2011-05-03   2013-03-26   原始取得   无
     semiconductor wafers
38   Method for fabricating an nmos transistor        US8728894   US13/171426     中芯上海        美国     发明   2011-06-28   2014-05-20   原始取得   无
     Polishing apparatus and exception handling
39                                                    US8858817   US13/176674     中芯北京        美国     发明   2011-07-05   2014-10-14   原始取得   无
     method thereof
     Method for forming metal gate and mos
40                                                    US8507336   US13/176678     中芯北京        美国     发明   2011-07-05   2013-08-13   原始取得   无
     transistor
41   Method for forming a gate electrode              US8349675   US13/177517     中芯北京        美国     发明   2011-07-06   2013-01-08   原始取得   无
     Method for fabricating a high-k metal gate
42                                                    US8313991   US13/178455     中芯上海        美国     发明   2011-07-07   2012-11-20   原始取得   无
     mos
43   Method for chip scale package and package        US9059004   US13/179837     中芯北京        美国     发明   2011-07-11   2015-06-16   原始取得   无



                                                                                409
中芯国际集成电路制造有限公司                                                                                                                   招股意向书



序                                                                                               申请国    专利                             取得方式   他项
                         专利名称                        专利号      申请号           专利权人                     申请日       公告日         15
号                                                                                               家/地区   类型                                        权利
     structure thereof
     Chemical mechanical polishing device and
44                                                    US8851959   US13/184907     中芯上海        美国     发明   2011-07-18   2014-10-07   原始取得   无
     polishing element
45   Transistor and method for forming the same       US8420511   US13/196671     中芯北京        美国     发明   2011-08-02   2013-04-16   原始取得   无
46   Method for forming metal gate                    US8673707   US13/198645     中芯上海        美国     发明   2011-08-04   2014-03-18   原始取得   无
47   Transistor and method for forming the same       US8492213   US13/204319     中芯北京        美国     发明   2011-08-05   2013-07-23   原始取得   无
48   Method for forming an interconnect structure     US8354341   US13/208332     中芯上海        美国     发明   2011-08-11   2013-01-15   原始取得   无
     Methods for forming a gate and a shallow
49   trench isolation region and for planarizing an   US8377827   US13/208885     中芯上海        美国     发明   2011-08-12   2013-02-19   原始取得   无
     etched surface of silicon substrate
     Methods for forming a gate and a shallow
50   trench isolation region and for planarizing an   US8367554   US13/208892     中芯上海        美国     发明   2011-08-12   2013-02-05   原始取得   无
     etched surface of silicon substrate
     Cleaning device and a cleaning method of a
51                                                    US8920572   US13/211306     中芯上海        美国     发明   2011-08-17   2014-12-30   原始取得   无
     fixed abrasives polishing pad
     Bga package structure and method for
52                                                    US8723319   US13/219371     中芯北京        美国     发明   2011-08-26   2014-05-13   原始取得   无
     fabricating the same
     Semiconductor device having air gap and
53                                                    US8575024   US13/226374     中芯上海        美国     发明   2011-09-06   2013-11-05   原始取得   无
     method for manufacturing the same
     Method of forming a gate pattern and a
54                                                    US8741744   US13/240637     中芯上海        美国     发明   2011-09-22   2014-06-03   原始取得   无
     semiconductor device
     Chemical mechanical polisher and polishing
55                                                    US8845398   US13/240733     中芯上海        美国     发明   2011-09-22   2014-09-30   原始取得   无
     pad component thereof
56   Semiconductor device and manufacturing           US8507379   US13/240820     中芯北京        美国     发明   2011-09-22   2013-08-13   原始取得   无



                                                                                410
中芯国际集成电路制造有限公司                                                                                                                招股意向书



序                                                                                            申请国    专利                             取得方式   他项
                        专利名称                      专利号      申请号           专利权人                     申请日       公告日         15
号                                                                                            家/地区   类型                                        权利
     method thereof
     Semiconductor device and method for
57                                                 US8841187   US13/243218     中芯上海        美国     发明   2011-09-23   2014-09-23   原始取得   无
     fabricating semiconductor device
58   Semiconductor device                          US8872575   US13/243437     中芯上海        美国     发明   2011-09-23   2014-10-28   原始取得   无
     Semiconductor device and manufacturing
59                                                 US8951852   US13/243563     中芯北京        美国     发明   2011-09-23   2015-02-10   原始取得   无
     method thereof
     Method of forming gate pattern and
60                                                 US8759179   US13/243902     中芯上海        美国     发明   2011-09-23   2014-06-24   原始取得   无
     semiconductor device
     Method of manufacturing semiconductor
61                                                 US9410233   US13/243944     中芯上海        美国     发明   2011-09-23   2016-08-09   原始取得   无
     device and wafer
62   Method for manufacturing a transistor         US8435900   US13/243977     中芯上海        美国     发明   2011-09-23   2013-05-07   原始取得   无
     Semiconductor device and manufacturing
63                                                 US9099323   US13/244054     中芯北京        美国     发明   2011-09-23   2015-08-04   原始取得   无
     method thereof
     Polishing method and method for forming a
64                                                 US8541308   US13/244196     中芯上海        美国     发明   2011-09-23   2013-09-24   原始取得   无
     gate
     Semiconductor device and manufacturing
65                                                 US8735282   US13/250153     中芯北京        美国     发明   2011-09-30   2014-05-27   原始取得   无
     method therefor
66   Chemical mechanical polishing method          US8455362   US13/253947     中芯上海        美国     发明   2011-10-05   2013-06-04   原始取得   无
     Method and system for forming conductive
67                                                 US8293635   US13/269538     中芯上海        美国     发明   2011-10-07   2012-10-23   原始取得   无
     bumping with copper interconnection
     Bond pad for low k dielectric materials and
68   method for manufacture for semiconductor      US8395240   US13/274246     中芯上海        美国     发明   2011-10-14   2013-03-12   原始取得   无
     devices
69   Fixed abrasive pad and method for forming     US9004985   US13/286961     中芯上海        美国     发明   2011-11-01   2015-04-14   原始取得   无



                                                                             411
中芯国际集成电路制造有限公司                                                                                                                     招股意向书



序                                                                                                 申请国    专利                             取得方式   他项
                        专利名称                           专利号      申请号           专利权人                     申请日       公告日         15
号                                                                                                 家/地区   类型                                        权利
     the same
     Method of fabricating semiconductor devices
70                                                      US9449834   US13/289983     中芯北京        美国     发明   2011-11-04   2016-09-20   原始取得   无
     including pmos devices having embedded sige
71   Method for fabricating a semiconductor device      US8372722   US13/290007     中芯北京        美国     发明   2011-11-04   2013-02-12   原始取得   无
72   Method of fabricating semiconductor devices        US9349862   US13/293001     中芯北京        美国     发明   2011-11-09   2016-05-24   原始取得   无
73   Method of fabricating semiconductor devices        US8450166   US13/293030     中芯北京        美国     发明   2011-11-09   2013-05-28   原始取得   无
74   Method of fabricating semiconductor devices        US8450167   US13/293052     中芯北京        美国     发明   2011-11-09   2013-05-28   原始取得   无
     Method for forming pattern and mask pattern,
75   and method for manufacturing semiconductor         US8828871   US13/293979     中芯北京        美国     发明   2011-11-10   2014-09-09   原始取得   无
     device
     Post-etching treatment process for copper
76                                                      US8445376   US13/304266     中芯上海        美国     发明   2011-11-23   2013-05-21   原始取得   无
     interconnecting wires
     Method for manufacturing semiconductor
77   device having interlayer dielectric layers and a   US8673776   US13/305417     中芯上海        美国     发明   2011-11-28   2014-03-18   原始取得   无
     gate contact
78   Method for manufacturing transistor                US8377770   US13/305726     中芯上海        美国     发明   2011-11-28   2013-02-19   原始取得   无
79   Method for forming semiconductor device            US8536001   US13/306834     中芯北京        美国     发明   2011-11-29   2013-09-17   原始取得   无
     Method for manufacturing a semiconductor
80                                                      US8513075   US13/306969     中芯北京        美国     发明   2011-11-29   2013-08-20   原始取得   无
     device
     Method for removing polishing byproducts
81                                                      US8808063   US13/308526     中芯上海        美国     发明   2011-11-30   2014-08-19   原始取得   无
     and polishing device
     Method for manufacturing semiconductor
82                                                      US9881836   US13/310311     中芯北京        美国     发明   2011-12-02   2018-01-30   原始取得   无
     device




                                                                                  412
中芯国际集成电路制造有限公司                                                                                                                  招股意向书



序                                                                                              申请国    专利                             取得方式   他项
                       专利名称                         专利号      申请号           专利权人                     申请日       公告日         15
号                                                                                              家/地区   类型                                        权利
83   Method of fabricating a semiconductor device    US8664122   US13/310365     中芯北京        美国     发明   2011-12-02   2014-03-04   原始取得   无
84   Method of fabricating a semiconductor device    US8580695   US13/310624     中芯北京        美国     发明   2011-12-02   2013-11-12   原始取得   无
85   Post-etch treating method                       US9064819   US13/313266     中芯北京        美国     发明   2011-12-07   2015-06-23   原始取得   无
     Semiconductor device and manufacturing
86                                                   US8587026   US13/313979     中芯北京        美国     发明   2011-12-07   2013-11-19   原始取得   无
     method thereof
     Method for forming hard mask in
87                                                   US8828868   US13/314000     中芯北京        美国     发明   2011-12-07   2014-09-09   原始取得   无
     semiconductor device fabrication
88   Method of fabricating a semiconductor device    US8846535   US13/315143     中芯北京        美国     发明   2011-12-08   2014-09-30   原始取得   无
     Semiconductor device and manufacturing
89   method thereof for protecting metal-gate from   US9324662   US13/316165     中芯北京        美国     发明   2011-12-09   2016-04-26   原始取得   无
     oxidation
     Semiconductor device and manufacturing
90                                                   US8610175   US13/316217     中芯北京        美国     发明   2011-12-09   2013-12-17   原始取得   无
     method thereof
     Semiconductor device and manufacturing
91                                                   US8664052   US13/316451     中芯北京        美国     发明   2011-12-09   2014-03-04   原始取得   无
     method for the same
     Semiconductor device capable of reducing
92   plasma induced damage and fabrication           US8722549   US13/316454     中芯北京        美国     发明   2011-12-09   2014-05-13   原始取得   无
     method thereof
     Method of manufacturing a semiconductor
93                                                   US8748279   US13/323489     中芯北京        美国     发明   2011-12-12   2014-06-10   原始取得   无
     device
     Method for manufacturing a semiconductor
94                                                   US8835325   US13/323728     中芯北京        美国     发明   2011-12-12   2014-09-16   原始取得   无
     device
     Double gate transistor and method of
95                                                   US8502289   US13/324945     中芯北京        美国     发明   2011-12-13   2013-08-06   原始取得   无
     fabricating the same



                                                                               413
中芯国际集成电路制造有限公司                                                                                                                   招股意向书



序                                                                                               申请国    专利                             取得方式   他项
                         专利名称                        专利号      申请号           专利权人                     申请日       公告日         15
号                                                                                               家/地区   类型                                        权利
      Apparatus and method for detecting marks and
96                                                    US8891732   US13/325017     中芯北京        美国     发明   2011-12-13   2014-11-18   原始取得   无
      semiconductor device processing system
      Semiconductor device and manufacturing
97                                                    US8877651   US13/326161     中芯北京        美国     发明   2011-12-14   2014-11-04   原始取得   无
      method involving multilayer contact etch stop
      Method of manufacturing semiconductor
98    device including ashing of photoresist with     US8753930   US13/326275     中芯上海        美国     发明   2011-12-14   2014-06-17   原始取得   无
      deuterium or tritium gas
      Semiconductor device and manufacturing
99                                                    US8951871   US13/326322     中芯北京        美国     发明   2011-12-15   2015-02-10   原始取得   无
      method thereof
100   Method of fabricating semiconductor devices     US8716151   US13/326323     中芯北京        美国     发明   2011-12-15   2014-05-06   原始取得   无
101   Polishing method and polishing device           US8758090   US13/328846     中芯上海        美国     发明   2011-12-16   2014-06-24   原始取得   无
      Semiconductor device and manufacturing
102                                                   US8951883   US13/345409     中芯北京        美国     发明   2012-01-06   2015-02-10   原始取得   无
      method thereof
      Semiconductor device and manufacturing
103                                                   US9263566   US13/351139     中芯北京        美国     发明   2012-01-16   2016-02-16   原始取得   无
      method thereof
      Reaction apparatus for processing wafer,
104   electrostatic chuck and wafer temperature       US8952297   US13/351741     中芯北京        美国     发明   2012-01-17   2015-02-10   原始取得   无
      control method
      Semiconductor device and manufacturing
105                                                   US8912568   US13/354060     中芯北京        美国     发明   2012-01-19   2014-12-16   原始取得   无
      method thereof
      Method for manufacturing semiconductor
106                                                   US9478654   US13/369782     中芯北京        美国     发明   2012-02-09   2016-10-25   原始取得   无
      device with tensile stress
      Semiconductor device and manufacturing
107                                                   US8722533   US13/398834     中芯上海        美国     发明   2012-02-16   2014-05-13   原始取得   无
      method thereof




                                                                                414
中芯国际集成电路制造有限公司                                                                                                                    招股意向书



序                                                                                                申请国    专利                             取得方式   他项
                        专利名称                          专利号      申请号           专利权人                     申请日       公告日         15
号                                                                                                家/地区   类型                                        权利
      Semiconductor device and manufacturing
108                                                    US8975181   US13/398837     中芯北京        美国     发明   2012-02-16   2015-03-10   原始取得   无
      method thereof
      Semiconductor device and manufacturing
109                                                    US8835213   US13/401819     中芯北京        美国     发明   2012-02-21   2014-09-16   原始取得   无
      method thereof
110   Photolithographic method                         US9581915   US13/405233     中芯北京        美国     发明   2012-02-25   2017-02-28   原始取得   无
111   Photolithographic apparatus                      US8982314   US13/405238     中芯北京        美国     发明   2012-02-25   2015-03-17   原始取得   无
      Integrated semiconductor device and
112                                                    US9812442   US13/418339     中芯北京        美国     发明   2012-03-12   2017-11-07   原始取得   无
      manufacturing method therefor
      Semiconductor apparatus and manufacturing
113                                                    US9111862   US13/431728     中芯上海        美国     发明   2012-03-27   2015-08-18   原始取得   无
      method thereof
      Method, optical module and auto-focusing
114                                                    US9081149   US13/441838     中芯北京        美国     发明   2012-04-06   2015-07-14   原始取得   无
      system for wafer edge exposure
      Semiconductor device and manufacturing
115                                                    US8877577   US13/458363     中芯上海        美国     发明   2012-04-27   2014-11-04   原始取得   无
      method thereof
      Semiconductor device having metal alloy gate
116                                                    US8815728   US13/486994     中芯上海        美国     发明   2012-06-01   2014-08-26   原始取得   无
      and method for manufacturing the same
      Semiconductor device and manufacturing                                       中芯上海、中
117                                                    US8518781   US13/552446                     美国     发明   2012-07-18   2013-08-27   原始取得   无
      method thereof                                                               芯北京
      Semiconductor device and related                                             中芯上海、中
118                                                    US8872243   US13/618004                     美国     发明   2012-09-14   2014-10-28   原始取得   无
      manufacturing method                                                         芯北京
      Semiconductor device and method for                                          中芯上海、中
119                                                    US8728926   US13/623340                     美国     发明   2012-09-20   2014-05-20   原始取得   无
      manufacturing a semiconductor device                                         芯北京
      Complementary junction field effect transistor                               中芯上海、中
120                                                    US8877575   US13/626634                     美国     发明   2012-09-25   2014-11-04   原始取得   无
      device and its gate-last fabrication method                                  芯北京



                                                                                 415
中芯国际集成电路制造有限公司                                                                                                                     招股意向书



序                                                                                                 申请国    专利                             取得方式   他项
                         专利名称                          专利号      申请号           专利权人                     申请日       公告日         15
号                                                                                                 家/地区   类型                                        权利
      Electrically conductive device and                                            中芯上海、中
121                                                     US8932950   US13/664317                     美国     发明   2012-10-30   2015-01-13   原始取得   无
      manufacturing method thereof                                                  芯北京
      Semiconductor device and manufacturing                                        中芯上海、中
122                                                     US8871583   US13/675759                     美国     发明   2012-11-13   2014-10-28   原始取得   无
      method thereof                                                                芯北京
123   Transistor device and fabrication method          US8975642   US13/686163     中芯上海        美国     发明   2012-11-27   2015-03-10   原始取得   无
      Fin field-effect-transistor (fet) structure and
124                                                     US8748247   US13/686300     中芯上海        美国     发明   2012-11-27   2014-06-10   原始取得   无
      manufacturing method
125   Inductor device and fabrication method            US8866259   US13/690267     中芯上海        美国     发明   2012-11-30   2014-10-21   原始取得   无
126   Inductor device and fabrication method            US8884399   US13/690378     中芯上海        美国     发明   2012-11-30   2014-11-11   原始取得   无
      Hybrid integrated semiconductor tri-gate and
127   split dual-gate finfet devices and method for     US9922878   US13/711586     中芯上海        美国     发明   2012-12-11   2018-03-20   原始取得   无
      manufacturing
128   Cmos devices and fabrication method               US8901675   US13/714452     中芯上海        美国     发明   2012-12-14   2014-12-02   原始取得   无
      Semiconductor structure and fabrication
129                                                     US8753956   US13/724284     中芯上海        美国     发明   2012-12-21   2014-06-17   原始取得   无
      method
      Method and system for making and cleaning
130                                                     US8673764   US13/726672     中芯上海        美国     发明   2012-12-26   2014-03-18   原始取得   无
      semiconductor device
      Lithography machine and scanning and
131                                                     US9134624   US13/730818     中芯上海        美国     发明   2012-12-28   2015-09-15   原始取得   无
      exposing method thereof
      Semiconductor structures and fabrication
132                                                     US8674450   US13/733461     中芯上海        美国     发明   2013-01-03   2014-03-18   原始取得   无
      method
      High-k layers, transistors, and fabrication
133                                                     US9029224   US13/736093     中芯上海        美国     发明   2013-01-08   2015-05-12   原始取得   无
      method
134   Pmos transistors and fabrication method           US8980718   US13/737966     中芯上海        美国     发明   2013-01-10   2015-03-17   原始取得   无



                                                                                  416
中芯国际集成电路制造有限公司                                                                                                                     招股意向书



序                                                                                                 申请国    专利                             取得方式   他项
                         专利名称                          专利号      申请号           专利权人                     申请日       公告日         15
号                                                                                                 家/地区   类型                                        权利
      Mos transistor, formation method thereof, and
135                                                     US8975703   US13/739311     中芯上海        美国     发明   2013-01-11   2015-03-10   原始取得   无
      sram memory cell circuit
136   Cmos device and fabrication method                US8884374   US13/744864     中芯上海        美国     发明   2013-01-18   2014-11-11   原始取得   无
      Method and apparatus for monitoring electron
                                                                                    中芯上海、中
137   beam condition of scanning electron               US8829424   US13/756148                     美国     发明   2013-01-31   2014-09-09   原始取得   无
                                                                                    芯北京
      microscope
                                                                                    中芯上海、中
138   Standard wafer and its fabrication method         US9019152   US13/757063                     美国     发明   2013-02-01   2015-04-28   原始取得   无
                                                                                    芯北京
139   Exposure device and exposure method               US9223229   US13/761436     中芯上海        美国     发明   2013-02-07   2015-12-29   原始取得   无
      Semiconductor device and manufacturing                                        中芯上海、中
140                                                     US8871622   US13/762163                     美国     发明   2013-02-07   2014-10-28   原始取得   无
      method thereof                                                                芯北京
141   Transistor and method for forming the same        US8741708   US13/770283     中芯上海        美国     发明   2013-02-19   2014-06-03   原始取得   无
      Fin field effect transistor and fabrication
142                                                     US9129994   US13/777142     中芯上海        美国     发明   2013-02-26   2015-09-08   原始取得   无
      method
      Fin field effect transistor and fabrication
143                                                     US8865552   US13/777346     中芯上海        美国     发明   2013-02-26   2014-10-21   原始取得   无
      method
144   Method for forming double patterned structure     US8853093   US13/787855     中芯上海        美国     发明   2013-03-07   2014-10-07   原始取得   无
      Method of forming double pattern in a
145                                                     US9070557   US13/787869     中芯上海        美国     发明   2013-03-07   2015-06-30   原始取得   无
      structure
      Mos transistor, fabrication method thereof, and
146                                                     US9178062   US13/792251     中芯上海        美国     发明   2013-03-11   2015-11-03   原始取得   无
      sram memory cell circuit
      Silicon-on-insulator substrate and fabrication
147                                                     US8980729   US13/795086     中芯上海        美国     发明   2013-03-12   2015-03-17   原始取得   无
      method
148   System and method for test structure on a         US9472476   US13/801251     中芯上海        美国     发明   2013-03-13   2016-10-18   原始取得   无



                                                                                  417
中芯国际集成电路制造有限公司                                                                                                                    招股意向书



序                                                                                                申请国    专利                             取得方式   他项
                          专利名称                        专利号      申请号           专利权人                     申请日       公告日         15
号                                                                                                家/地区   类型                                        权利
      wafer
149   Small pitch patterns and fabrication method      US9312328   US13/831987     中芯上海        美国     发明   2013-03-15   2016-04-12   原始取得   无
150   Transistors and fabrication method thereof       US8859354   US13/831995     中芯上海        美国     发明   2013-03-15   2014-10-14   原始取得   无
      Nanowires, nanowire fielde-effect transistors
151                                                    US8912545   US13/832648     中芯上海        美国     发明   2013-03-15   2014-12-16   原始取得   无
      and fabrication method
152   Transistors and fabrication method               US9054021   US13/832933     中芯上海        美国     发明   2013-03-15   2015-06-09   原始取得   无
      Semiconductor device including contact holes
153                                                    US8748248   US13/833972     中芯上海        美国     发明   2013-03-15   2014-06-10   原始取得   无
      and method for forming the same
      Method for correcting layout pattern and mask
154                                                    US8788983   US13/845149     中芯上海        美国     发明   2013-03-18   2014-07-22   原始取得   无
      thereof
      System and method for integrated circuits with
155                                                    US9373694   US13/848707     中芯上海        美国     发明   2013-03-21   2016-06-21   原始取得   无
      cylindrical gate structures
      Signal receiver and signal transmission
156                                                    US8854105   US13/855466     中芯上海        美国     发明   2013-04-02   2014-10-07   原始取得   无
      apparatus
      Fin field-effect transistors and fabrication
157                                                    US9117906   US13/861584     中芯上海        美国     发明   2013-04-12   2015-08-25   原始取得   无
      method thereof
158   Method for fabricating mos transistors           US8846527   US13/887509     中芯上海        美国     发明   2013-05-06   2014-09-30   原始取得   无
      Voltage regulator devices and voltage
159                                                    US9343958   US13/896567     中芯上海        美国     发明   2013-05-17   2016-05-17   原始取得   无
      regulating method
      Semiconductor device and manufacturing
160                                                    US8716764   US13/897171     中芯上海        美国     发明   2013-05-17   2014-05-06   原始取得   无
      method thereof
      Semiconductor device with contact hole and
161                                                    US9082641   US13/897836     中芯上海        美国     发明   2013-05-20   2015-07-14   原始取得   无
      manufacturing method thereof




                                                                                 418
中芯国际集成电路制造有限公司                                                                                                                       招股意向书



序                                                                                                   申请国    专利                             取得方式   他项
                         专利名称                           专利号       申请号           专利权人                     申请日       公告日         15
号                                                                                                   家/地区   类型                                        权利
162   Transistor device and fabrication method           US9147614    US13/904341     中芯上海        美国     发明   2013-05-29   2015-09-29   原始取得   无
      Method for dual energy implantation for
163   ultra-shallow junction formation of mos            US9024281    US13/906897     中芯上海        美国     发明   2013-05-31   2015-05-05   原始取得   无
      devices
      Semiconductor device and manufacturing
164   method thereof for protecting metal-gate from      US9318445    US13/911805     中芯北京        美国     发明   2013-06-06   2016-04-19   原始取得   无
      oxidation
165   Semiconductor device and fabrication method        US9147737    US13/914868     中芯上海        美国     发明   2013-06-11   2015-09-29   原始取得   无
      Semiconductor device and method for
166                                                      US9391188    US13/916890     中芯上海        美国     发明   2013-06-13   2016-07-12   原始取得   无
      fabricating semiconductor device
      Semiconductor structures and fabrication
167                                                      US8895389    US13/919276     中芯上海        美国     发明   2013-06-17   2014-11-25   原始取得   无
      method thereof
      Jlt (junction-less transistor) device and method
168                                                      US8928082    US13/921209     中芯上海        美国     发明   2013-06-19   2015-01-06   原始取得   无
      for fabricating the same
      Cmos transistors, fin field-effect transistors
169                                                      US8859358    US13/921386     中芯上海        美国     发明   2013-06-19   2014-10-14   原始取得   无
      and fabrication methods thereof
170   Semicondcutor device comprising transistor         US8569798    US13/923883     中芯北京        美国     发明   2013-06-21   2013-10-29   原始取得   无
      Gate structure and manufacturing method
171                                                      US9035397    US13/923943     中芯上海        美国     发明   2013-06-21   2015-05-19   原始取得   无
      thereof
172   Lithography system and lithography method          US10180631   US13/924325     中芯上海        美国     发明   2013-06-21   2019-01-15   原始取得   无
      Semiconductor device and fabricating method
173                                                      US8999843    US13/927830     中芯上海        美国     发明   2013-06-26   2015-04-07   原始取得   无
      thereof
      Method and apparatus for repairing defective
174                                                      US9111643    US13/928233     中芯上海        美国     发明   2013-06-26   2015-08-18   原始取得   无
      memory cells



                                                                                    419
中芯国际集成电路制造有限公司                                                                                                                    招股意向书



序                                                                                                申请国    专利                             取得方式   他项
                         专利名称                         专利号      申请号           专利权人                     申请日       公告日         15
号                                                                                                家/地区   类型                                        权利
      Method of manufacturing a semiconductor
175                                                    US8962428   US13/935228     中芯上海        美国     发明   2013-07-03   2015-02-24   原始取得   无
      device
176   Laser annealing device and method                US9257287   US13/935265     中芯上海        美国     发明   2013-07-03   2016-02-09   原始取得   无
      Semiconductor device and manufacturing
177                                                    US9293550   US13/940095     中芯上海        美国     发明   2013-07-11   2016-03-22   原始取得   无
      method thereof
      Surrounding stacked gate multi-gate fet                                      中芯上海、中
178                                                    US8889510   US13/940256                     美国     发明   2013-07-12   2014-11-18   原始取得   无
      structure nonvolatile memory device                                          芯北京
      System and method for test pattern for
179                                                    US8921013   US13/940260     中芯上海        美国     发明   2013-07-12   2014-12-30   原始取得   无
      lithography process
      Fin field-effect transistors and fabrication
180                                                    US8809173   US13/940283     中芯上海        美国     发明   2013-07-12   2014-08-19   原始取得   无
      method thereof
181   Method for fabricating a semiconductor device    US8846475   US13/954976     中芯上海        美国     发明   2013-07-31   2014-09-30   原始取得   无
182   Semiconductor device                             US8581311   US13/961907     中芯北京        美国     发明   2013-08-08   2013-11-12   原始取得   无
      Semiconductor device and fabrication method
183                                                    US9379206   US14/012900     中芯上海        美国     发明   2013-08-28   2016-06-28   原始取得   无
      thereof
184   Method and apparatus for alarm monitoring        US9798320   US14/017297     中芯上海        美国     发明   2013-09-03   2017-10-24   原始取得   无
      Interconnection structures and fabrication
185                                                    US9190317   US14/020795     中芯上海        美国     发明   2013-09-07   2015-11-17   原始取得   无
      method thereof
      Cylindrical reticle system, exposure apparatus
186                                                    US9298103   US14/020850     中芯上海        美国     发明   2013-09-08   2016-03-29   原始取得   无
      and exposure method
      Semiconductor structure and fabrication
187                                                    US9177913   US14/022387     中芯上海        美国     发明   2013-09-10   2015-11-03   原始取得   无
      method
188   Transistors and fabrication method thereof       US9147749   US14/022500     中芯上海        美国     发明   2013-09-10   2015-09-29   原始取得   无




                                                                                 420
中芯国际集成电路制造有限公司                                                                                                                    招股意向书



序                                                                                                申请国    专利                             取得方式   他项
                         专利名称                         专利号      申请号           专利权人                     申请日       公告日         15
号                                                                                                家/地区   类型                                        权利
      Semiconductor device having ground shield
189                                                    US9209130   US14/028733     中芯上海        美国     发明   2013-09-17   2015-12-08   原始取得   无
      structure and fabrication method thereof
      Method for detecting electron beam of
190   scanning electron microscope and for             US8742345   US14/028917     中芯上海        美国     发明   2013-09-17   2014-06-03   原始取得   无
      detecting fine patterns
      Cylindrical reticle system, exposure apparatus
191                                                    US9323163   US14/029939     中芯上海        美国     发明   2013-09-18   2016-04-26   原始取得   无
      and exposure method
      Metal gate transistors and fabrication method
192                                                    US8772148   US14/030026     中芯上海        美国     发明   2013-09-18   2014-07-08   原始取得   无
      thereof
193   Method for fabricating interconnect structure    US9449869   US14/035378     中芯上海        美国     发明   2013-09-24   2016-09-20   原始取得   无
194   Fabrication method for mim capacitor             US9236296   US14/037348     中芯上海        美国     发明   2013-09-25   2016-01-12   原始取得   无
195   Interconnect structure and fabrication method    US9136171   US14/038789     中芯上海        美国     发明   2013-09-27   2015-09-15   原始取得   无
      Exposure apparatus and exposure method
196                                                    US9298099   US14/039332     中芯上海        美国     发明   2013-09-27   2016-03-29   原始取得   无
      thereof
197   Method for forming shallow trench isolation      US9147596   US14/040605     中芯上海        美国     发明   2013-09-27   2015-09-29   原始取得   无
      Exposure apparatus and exposure method
198                                                    US9411242   US14/040685     中芯上海        美国     发明   2013-09-29   2016-08-09   原始取得   无
      thereof
199   Shallow trench and fabrication method            US9087788   US14/055899     中芯上海        美国     发明   2013-10-17   2015-07-21   原始取得   无
200   Static random access memory structures           US8976576   US14/057294     中芯上海        美国     发明   2013-10-18   2015-03-10   原始取得   无
      Fabrication method for semiconductor device
201                                                    US9287182   US14/060881     中芯上海        美国     发明   2013-10-23   2016-03-15   原始取得   无
      with three or four-terminal-finfet
      Interconnection structures and fabrication
202                                                    US8883626   US14/060975     中芯上海        美国     发明   2013-10-23   2014-11-11   原始取得   无
      method thereof




                                                                                 421
中芯国际集成电路制造有限公司                                                                                                                     招股意向书



序                                                                                                 申请国    专利                             取得方式   他项
                         专利名称                         专利号       申请号           专利权人                     申请日       公告日         15
号                                                                                                 家/地区   类型                                        权利
203   Semiconductor device and fabrication method      US9123812    US14/069693     中芯上海        美国     发明   2013-11-01   2015-09-01   原始取得   无
      Metal gate transistor and method for forming
204                                                    US9337043    US14/070536     中芯上海        美国     发明   2013-11-03   2016-05-10   原始取得   无
      the same
      Semiconductor structure having common gate
205                                                    US9196725    US14/070538     中芯上海        美国     发明   2013-11-03   2015-11-24   原始取得   无
      and fabrication method thereof
206   Mos transistors and fabrication method thereof   US9147746    US14/070645     中芯上海        美国     发明   2013-11-04   2015-09-29   原始取得   无
207   Semiconductor device and fabrication method      US8956964    US14/077495     中芯上海        美国     发明   2013-11-12   2015-02-17   原始取得   无
      Ground shield structure and semiconductor
208                                                    US8987839    US14/077608     中芯上海        美国     发明   2013-11-12   2015-03-24   原始取得   无
      device
      Fin field-effect transistors and fabrication
209                                                    US8883585    US14/078655     中芯上海        美国     发明   2013-11-13   2014-11-11   原始取得   无
      method thereof
      Methods for monitoring source symmetry of
210                                                    US9316925    US14/078836     中芯上海        美国     发明   2013-11-13   2016-04-19   原始取得   无
      photolithography systems
      Patterned ground shield structures and
211                                                    US9000561    US14/078946     中芯上海        美国     发明   2013-11-13   2015-04-07   原始取得   无
      semiconductor devices
      Semiconductor bullet lot dispatch systems and
212                                                    US10325236   US14/079167     中芯上海        美国     发明   2013-11-13   2019-06-18   原始取得   无
      methods
213   Mos transistors and fabrication method thereof   US8980705    US14/096286     中芯上海        美国     发明   2013-12-04   2015-03-17   原始取得   无
214   Interconnect structure and fabrication method    US9153480    US14/106961     中芯上海        美国     发明   2013-12-16   2015-10-06   原始取得   无
      Semiconductor structures and fabrication
215   methods for improving undercut between           US9330964    US14/140939     中芯上海        美国     发明   2013-12-26   2016-05-03   原始取得   无
      porous film and hardmask film
      Local interconnect structure and fabrication
216                                                    US9111942    US14/141035     中芯上海        美国     发明   2013-12-26   2015-08-18   原始取得   无
      method



                                                                                  422
中芯国际集成电路制造有限公司                                                                                                                 招股意向书



序                                                                                             申请国    专利                             取得方式   他项
                         专利名称                      专利号      申请号           专利权人                     申请日       公告日         15
号                                                                                             家/地区   类型                                        权利
217   Transistor device and fabrication method      US9136183   US14/143623     中芯上海        美国     发明   2013-12-30   2015-09-15   原始取得   无
      Three-dimensional quantum well transistor
218                                                 US9029222   US14/144623     中芯上海        美国     发明   2013-12-31   2015-05-12   原始取得   无
      and fabrication method
      Transistors and fabrication methods thereof                               中芯上海、中
219                                                 US9018712   US14/144696                     美国     发明   2013-12-31   2015-04-28   原始取得   无
      using a stacked protection layer                                          芯北京
      Method for electromigration and adhesion
220                                                 US9824918   US14/145665     中芯上海        美国     发明   2013-12-31   2017-11-21   原始取得   无
      using two selective deposition
      Pmos transistors and fabrication methods
221                                                 US8936987   US14/161816     中芯上海        美国     发明   2014-01-23   2015-01-20   原始取得   无
      thereof
      System for making and cleaning
222                                                 US9640425   US14/166981     中芯上海        美国     发明   2014-01-29   2017-05-02   原始取得   无
      semiconductor device
      Method for core and in/out-put device
223                                                 US9502403   US14/169146     中芯上海        美国     发明   2014-01-30   2016-11-22   原始取得   无
      reliability improve at high-k last process
224   Mos transistor and fabrication method         US9431516   US14/177403     中芯上海        美国     发明   2014-02-11   2016-08-30   原始取得   无
      Semiconductor device containing mim
225                                                 US9093419   US14/177570     中芯上海        美国     发明   2014-02-11   2015-07-28   原始取得   无
      capacitor and fabrication method
      Semiconductor device including porous low-k
226                                                 US9093268   US14/178494     中芯上海        美国     发明   2014-02-12   2015-07-28   原始取得   无
      dielectric layer and fabrication method
227   Semiconductor device and fabrication method   US9607885   US14/178611     中芯上海        美国     发明   2014-02-12   2017-03-28   原始取得   无
228   Double patterning methods and structures      US8975186   US14/178913     中芯上海        美国     发明   2014-02-12   2015-03-10   原始取得   无
      Semiconductor device and manufacturing
229                                                 US9053944   US14/179847     中芯上海        美国     发明   2014-02-13   2015-06-09   原始取得   无
      method thereof
      Method for processing structure in
230                                                 US9252010   US14/180227     中芯上海        美国     发明   2014-02-13   2016-02-02   原始取得   无
      manufacturing semiconductor device



                                                                              423
中芯国际集成电路制造有限公司                                                                                                                    招股意向书



序                                                                                                申请国    专利                             取得方式   他项
                         专利名称                         专利号      申请号           专利权人                     申请日       公告日         15
号                                                                                                家/地区   类型                                        权利
231   Fabrication method of semiconductor device       US9117887   US14/183553     中芯上海        美国     发明   2014-02-19   2015-08-25   原始取得   无
      Junction-less transistors and fabrication
232                                                    US9064729   US14/188789     中芯上海        美国     发明   2014-02-25   2015-06-23   原始取得   无
      method thereof
233   Triple patterning method                         US9034762   US14/188945     中芯上海        美国     发明   2014-02-25   2015-05-19   原始取得   无
234   Transistor device and fabrication method         US9209299   US14/189088     中芯上海        美国     发明   2014-02-25   2015-12-08   原始取得   无
      Finfet device and method of forming fin in the                               中芯上海、中
235                                                    US9184291   US14/189448                     美国     发明   2014-02-25   2015-11-10   原始取得   无
      same                                                                         芯北京
                                                                                   中芯上海、中
236   Flash memory and fabrication method thereof      US9012317   US14/192893                     美国     发明   2014-02-28   2015-04-21   原始取得   无
                                                                                   芯北京
      Semiconductor device including sti structure
237                                                    US9305823   US14/207678     中芯上海        美国     发明   2014-03-13   2016-04-05   原始取得   无
      and fabrication method
      Esd protection structure and esd protection
238                                                    US8981483   US14/227405     中芯上海        美国     发明   2014-03-27   2015-03-17   原始取得   无
      circuit
      Esd protection structure and esd protection
239                                                    US9105477   US14/227500     中芯上海        美国     发明   2014-03-27   2015-08-11   原始取得   无
      circuit
      Enhanced stress memorization technique for                                   中芯上海、中
240                                                    US9059210   US14/227986                     美国     发明   2014-03-27   2015-06-16   原始取得   无
      metal gate transistors                                                       芯北京
      Semiconductor structures and fabrication                                     中芯上海、中
241                                                    US9111874   US14/228485                     美国     发明   2014-03-28   2015-08-18   原始取得   无
      method thereof                                                               芯北京
      Cmos transistors and fabrication method                                      中芯上海、中
242                                                    US9190327   US14/228832                     美国     发明   2014-03-28   2015-11-17   原始取得   无
      thereof                                                                      芯北京
      Method of measuring threshold voltage of mos                                 中芯上海、中
243                                                    US8971099   US14/229915                     美国     发明   2014-03-30   2015-03-03   原始取得   无
      transistor in sram array                                                     芯北京
244   Semiconductor device and fabrication method      US9093317   US14/229916     中芯上海、中    美国     发明   2014-03-30   2015-07-28   原始取得   无



                                                                                 424
中芯国际集成电路制造有限公司                                                                                                                    招股意向书



序                                                                                                申请国    专利                             取得方式   他项
                         专利名称                         专利号      申请号           专利权人                     申请日       公告日         15
号                                                                                                家/地区   类型                                        权利
                                                                                   芯北京
245   Method for finfet sram ratio tuning              US9184170   US14/250355     中芯上海        美国     发明   2014-04-10   2015-11-10   原始取得   无
      Device having reduced pad peeling during
246   tensile stress testing and a method of forming   US9396993   US14/256241     中芯上海        美国     发明   2014-04-18   2016-07-19   原始取得   无
      thereof
      Semiconductor device and fabrication method
247                                                    US9209289   US14/264529     中芯上海        美国     发明   2014-04-29   2015-12-08   原始取得   无
      thereof
248   Frequency generation device                      US9270283   US14/266337     中芯上海        美国     发明   2014-04-30   2016-02-23   原始取得   无
      Semiconductor structure and method for                                       中芯上海、中
249                                                    US9515078   US14/266693                     美国     发明   2014-04-30   2016-12-06   原始取得   无
      forming the same                                                             芯北京
      System and method for reducing
250   contamination in extreme ultraviolet             US9176400   US14/267193     中芯上海        美国     发明   2014-05-01   2015-11-03   原始取得   无
      lithography light source
251   Self-biased phase lock loop                      US9024667   US14/267763     中芯上海        美国     发明   2014-05-01   2015-05-05   原始取得   无
252   Method of forming a spacer patterning mask       US9023224   US14/278538     中芯上海        美国     发明   2014-05-15   2015-05-05   原始取得   无
      Mos transistors and fabrication methods
253                                                    US9425311   US14/279469     中芯上海        美国     发明   2014-05-16   2016-08-23   原始取得   无
      thereof
254   Finfet device and fabrication method thereof     US9853026   US14/280217     中芯上海        美国     发明   2014-05-16   2017-12-26   原始取得   无
      Method for manufacturing semiconductor
255                                                    US9136182   US14/280254     中芯上海        美国     发明   2014-05-16   2015-09-15   原始取得   无
      device
      Semiconductor device and fabrication method
256                                                    US9269772   US14/281007     中芯上海        美国     发明   2014-05-19   2016-02-23   原始取得   无
      thereof
      Pixel structures of cmos imaging sensors and                                 中芯上海、中
257                                                    US9059068   US14/283426                     美国     发明   2014-05-21   2015-06-16   原始取得   无
      fabrication method thereof                                                   芯北京



                                                                                 425
中芯国际集成电路制造有限公司                                                                                                                   招股意向书



序                                                                                               申请国    专利                             取得方式   他项
                         专利名称                       专利号       申请号           专利权人                     申请日       公告日         15
号                                                                                               家/地区   类型                                        权利
      Method and system for obtaining optical
258                                                  US9105079    US14/283581     中芯上海        美国     发明   2014-05-21   2015-08-11   原始取得   无
      proximity correction model calibration data
      Semiconductor devices and fabrication
259                                                  US9362402    US14/287500     中芯上海        美国     发明   2014-05-27   2016-06-07   原始取得   无
      method thereof
260   Photoresist coating apparatus and methods      US10105722   US14/287716     中芯上海        美国     发明   2014-05-27   2018-10-23   原始取得   无
      Semiconductor structure and method for
261                                                  US9431355    US14/288494     中芯上海        美国     发明   2014-05-28   2016-08-30   原始取得   无
      forming the same
262   Transistor and method for forming the same     US9484204    US14/288627     中芯上海        美国     发明   2014-05-28   2016-11-01   原始取得   无
      Stripe structures and fabrication method
263                                                  US9312355    US14/295649     中芯上海        美国     发明   2014-06-04   2016-04-12   原始取得   无
      thereof
264   Method of forming high k metal gate            US9099338    US14/305969     中芯上海        美国     发明   2014-06-16   2015-08-04   原始取得   无
265   On-chip plasma charging sensor                 US9299622    US14/323749     中芯上海        美国     发明   2014-07-03   2016-03-29   原始取得   无
      Semiconductor structures and fabrication
266                                                  US9305833    US14/324403     中芯上海        美国     发明   2014-07-07   2016-04-05   原始取得   无
      method thereof
267   Fin field-effect transistors                   US9054193    US14/327299     中芯上海        美国     发明   2014-07-09   2015-06-09   原始取得   无
      Polishing device for removing polishing
268                                                  US9511475    US14/327363     中芯上海        美国     发明   2014-07-09   2016-12-06   原始取得   无
      byproducts
      Photomask pattern and method for forming the
269                                                  US9588414    US14/332565     中芯上海        美国     发明   2014-07-16   2017-03-07   原始取得   无
      same
270   Transistor and method for forming the same     US9166050    US14/333131     中芯上海        美国     发明   2014-07-16   2015-10-20   原始取得   无
271   Euvl light source system and method            US9049774    US14/333268     中芯上海        美国     发明   2014-07-16   2015-06-02   原始取得   无
      Fin field effect transistor and method for                                  中芯上海、中
272                                                  US9508609    US14/336050                     美国     发明   2014-07-21   2016-11-29   原始取得   无
      forming the same                                                            芯北京




                                                                                426
中芯国际集成电路制造有限公司                                                                                                                   招股意向书



序                                                                                               申请国    专利                             取得方式   他项
                         专利名称                        专利号      申请号           专利权人                     申请日       公告日         15
号                                                                                               家/地区   类型                                        权利
      Semiconductor device with an aluminum alloy
273                                                   US9196697   US14/337683     中芯上海        美国     发明   2014-07-22   2015-11-24   原始取得   无
      gate
      Method and device for examining quality of
274   dummy pattern insertion program used in         US9189590   US14/445700     中芯上海        美国     发明   2014-07-29   2015-11-17   原始取得   无
      circuit layout design
      Chemical mechanical planarization apparatus                                 中芯上海、中
275                                                   US9950405   US14/445867                     美国     发明   2014-07-29   2018-04-24   原始取得   无
      and methods                                                                 芯北京
      Static random access memory and fabrication
276                                                   US9754947   US14/445948     中芯上海        美国     发明   2014-07-29   2017-09-05   原始取得   无
      methods thereof
      Fin field effect transistors and fabrication                                中芯上海、中
277                                                   US9142675   US14/463707                     美国     发明   2014-08-20   2015-09-22   原始取得   无
      method thereof                                                              芯北京
      Tunneling field effect transistor device and
278                                                   US9153585   US14/490182     中芯上海        美国     发明   2014-09-18   2015-10-06   原始取得   无
      related manufacturing method
      Method of detecting a scattering bar by                                     中芯上海、中
279                                                   US9256703   US14/491732                     美国     发明   2014-09-19   2016-02-09   原始取得   无
      simulation                                                                  芯北京
      Methods for high-k metal gate cmos with sic                                 中芯上海、中
280                                                   US9595585   US14/491853                     美国     发明   2014-09-19   2017-03-14   原始取得   无
      and sige source/drain regions                                               芯北京
      Flash memory device and related
281                                                   US9245897   US14/494456     中芯上海        美国     发明   2014-09-23   2016-01-26   原始取得   无
      manufacturing method
      Nanowire device and method of
282                                                   US9614038   US14/495639     中芯上海        美国     发明   2014-09-24   2017-04-04   原始取得   无
      manufacturing the same
      Segregated finfet structure and manufacturing
283                                                   US9425278   US14/502912     中芯上海        美国     发明   2014-09-30   2016-08-23   原始取得   无
      method
284   Fin-type field effect transistor and            US9257538   US14/503348     中芯上海        美国     发明   2014-09-30   2016-02-09   原始取得   无




                                                                                427
中芯国际集成电路制造有限公司                                                                                                                      招股意向书



序                                                                                                  申请国    专利                             取得方式   他项
                         专利名称                           专利号      申请号           专利权人                     申请日       公告日         15
号                                                                                                  家/地区   类型                                        权利
      manufacturing method thereof
      Semiconductor device and manufacturing                                         中芯上海、中
285                                                      US9190331   US14/505102                     美国     发明   2014-10-02   2015-11-17   原始取得   无
      method thereof                                                                 芯北京
      Enhanced optical proximity correction (opc)                                    中芯上海、中
286                                                      US9117053   US14/508022                     美国     发明   2014-10-07   2015-08-25   原始取得   无
      method and system                                                              芯北京
      System and method for integrated circuits with
287                                                      US9224812   US14/510672     中芯上海        美国     发明   2014-10-09   2015-12-29   原始取得   无
      cylindrical gate structures
288   Method for fabricating inductor device             US9018731   US14/512988     中芯上海        美国     发明   2014-10-13   2015-04-28   原始取得   无
      Transistor having a heterojunction and
289                                                      US9543390   US14/513792     中芯上海        美国     发明   2014-10-14   2017-01-10   原始取得   无
      manufacturing method thereof
290   Semiconductor device with reduced defects          US9087836   US14/514956     中芯上海        美国     发明   2014-10-15   2015-07-21   原始取得   无
291   Semiconductor structures                           US9105632   US14/520286     中芯上海        美国     发明   2014-10-21   2015-08-11   原始取得   无
292   Method for fabricating semiconductor device        US9461172   US14/520299     中芯上海        美国     发明   2014-10-21   2016-10-04   原始取得   无
293   Semiconductor device and fabrication method        US9136164   US14/530856     中芯上海        美国     发明   2014-11-03   2015-09-15   原始取得   无
      Interconnect structures and fabrication method                                 中芯上海、中
294                                                      US9419090   US14/539285                     美国     发明   2014-11-12   2016-08-16   原始取得   无
      thereof                                                                        芯北京
      Method for semiconductor selective etching
295                                                      US9362332   US14/542525     中芯上海        美国     发明   2014-11-14   2016-06-07   原始取得   无
      and bsi image sensor
      Device for cleaning fixed abrasives polishing
296                                                      US9475170   US14/548673     中芯上海        美国     发明   2014-11-20   2016-10-25   原始取得   无
      pad
      Finfet fabrication method using buffer layers
297                                                      US9425101   US14/548736     中芯上海        美国     发明   2014-11-20   2016-08-23   原始取得   无
      between channel and semiconductor substrate
298   Method for fabricating a field effect transistor   US9478656   US14/554168     中芯上海        美国     发明   2014-11-26   2016-10-25   原始取得   无




                                                                                   428
中芯国际集成电路制造有限公司                                                                                                                     招股意向书



序                                                                                                 申请国    专利                             取得方式   他项
                         专利名称                         专利号       申请号           专利权人                     申请日       公告日         15
号                                                                                                 家/地区   类型                                        权利
      with local isolations on raised source/drain
      trench sidewalls
299   Transistors and fabrication methods thereof      US9190481    US14/554432     中芯上海        美国     发明   2014-11-26   2015-11-17   原始取得   无
      Semiconductor device and related
300                                                    US9715987    US14/558050     中芯上海        美国     发明   2014-12-02   2017-07-25   原始取得   无
      manufacturing method
      Tunneling nanotube field effect transistor and
301                                                    US9530977    US14/559408     中芯上海        美国     发明   2014-12-03   2016-12-27   原始取得   无
      manufacturing method thereof
      Method for manufacturing cmos device with
302   high-k dielectric layers and high-k cap layers   US9299619    US14/559553     中芯上海        美国     发明   2014-12-03   2016-03-29   原始取得   无
      formed in different steps
      Method to form a cylindrical germanium
303                                                    US9905677    US14/571287     中芯上海        美国     发明   2014-12-16   2018-02-27   原始取得   无
      nanowire device on bulk silicon substrate
304   Semiconductor device                             US9087901    US14/571561     中芯上海        美国     发明   2014-12-16   2015-07-21   原始取得   无
      Semiconductor devices and fabrication
305                                                    US9548212    US14/571831     中芯上海        美国     发明   2014-12-16   2017-01-17   原始取得   无
      method thereof
      Fin field effect transistor and method for
306                                                    US9362286    US14/574639     中芯上海        美国     发明   2014-12-18   2016-06-07   原始取得   无
      forming the same
      Integrated circuit and related manufacturing                                  中芯上海、中
307                                                    US9324712    US14/581671                     美国     发明   2014-12-23   2016-04-26   原始取得   无
      method                                                                        芯北京
      Semiconductor device and manufacturing
308                                                    US9368600    US14/582917     中芯上海        美国     发明   2014-12-24   2016-06-14   原始取得   无
      method thereof
      Semiconductor device, related manufacturing
309                                                    US10211289   US14/583493     中芯上海        美国     发明   2014-12-26   2019-02-19   原始取得   无
      method, and related electronic device
310   Interconnect structure and method for forming    US9892921    US14/583878     中芯上海        美国     发明   2014-12-29   2018-02-13   原始取得   无



                                                                                  429
中芯国际集成电路制造有限公司                                                                                                                    招股意向书



序                                                                                                申请国    专利                             取得方式   他项
                         专利名称                         专利号      申请号           专利权人                     申请日       公告日         15
号                                                                                                家/地区   类型                                        权利
      the same
311   Method for forming flash memory devices          US9431405   US14/588460     中芯上海        美国     发明   2015-01-01   2016-08-30   原始取得   无
      Method of manufacturing a semiconductor
312   device and adjusting threshold voltages in the   US9331084   US14/591716     中芯上海        美国     发明   2015-01-07   2016-05-03   原始取得   无
      same
      Method of manufacturing an embedded
313                                                    US9443946   US14/597104     中芯上海        美国     发明   2015-01-14   2016-09-13   原始取得   无
      split-gate flash memory device
314   Semiconductor device                             US9117907   US14/607613     中芯上海        美国     发明   2015-01-28   2015-08-25   原始取得   无
      Semiconductor device and method for forming
315                                                    US9337107   US14/609520     中芯上海        美国     发明   2015-01-30   2016-05-10   原始取得   无
      the same
      Method of manufacturing a semiconductor
316                                                    US9653283   US14/610581     中芯上海        美国     发明   2015-01-30   2017-05-16   原始取得   无
      device
                                                                                   中芯上海、中
317   Method of finfet formation                       US9660058   US14/612186                     美国     发明   2015-02-02   2017-05-23   原始取得   无
                                                                                   芯北京
      Fin-type field effect transistor and
318                                                    US9590031   US14/622609     中芯上海        美国     发明   2015-02-13   2017-03-07   原始取得   无
      manufacturing method thereof
      Metal gate stack structure and manufacturing
319                                                    US9525046   US14/631829     中芯上海        美国     发明   2015-02-25   2016-12-20   原始取得   无
      method
      Method for compensating local oscillator
320                                                    US9473148   US14/642694     中芯上海        美国     发明   2015-03-09   2016-10-18   原始取得   无
      frequency
      Electrical interconnection structure and
321                                                    US9490210   US14/657465     中芯上海        美国     发明   2015-03-13   2016-11-08   原始取得   无
      fabrication method thereof
      Method and system for optical proximity
322                                                    US9638994   US14/657612     中芯上海        美国     发明   2015-03-13   2017-05-02   原始取得   无
      correction (opc)



                                                                                 430
中芯国际集成电路制造有限公司                                                                                                                     招股意向书



序                                                                                                 申请国    专利                             取得方式   他项
                         专利名称                         专利号       申请号           专利权人                     申请日       公告日         15
号                                                                                                 家/地区   类型                                        权利
      Semiconductor device and manufacturing
323                                                    US9455318    US14/661944     中芯上海        美国     发明   2015-03-18   2016-09-27   原始取得   无
      method thereof
      Preventing over-polishing of poly gate in
324                                                    US9543212    US14/663389     中芯上海        美国     发明   2015-03-19   2017-01-10   原始取得   无
      metal-gate cmp
      Electrostatic discharge protection circuit and
325                                                    US9876003    US14/663569     中芯上海        美国     发明   2015-03-20   2018-01-23   原始取得   无
      configuration method
      Memory array and operation method for
326                                                    US9620239    US14/670717     中芯上海        美国     发明   2015-03-27   2017-04-11   原始取得   无
      memory device, including data inversion
      Interconnect structures and fabrication method
327                                                    US9728504    US14/671358     中芯上海        美国     发明   2015-03-27   2017-08-08   原始取得   无
      thereof
328   Semiconductor device and fabrication method      US9362276    US14/671460     中芯上海        美国     发明   2015-03-27   2016-06-07   原始取得   无
      Fin-type field effect transistor and
329                                                    US9455255    US14/674873     中芯上海        美国     发明   2015-03-31   2016-09-27   原始取得   无
      manufacturing method thereof
      Semiconductor structures and fabrication
330                                                    US9349729    US14/681201     中芯上海        美国     发明   2015-04-08   2016-05-24   原始取得   无
      method thereof
      Semiconductor channel-stop layer and method
331                                                    US10068966   US14/683518     中芯上海        美国     发明   2015-04-10   2018-09-04   原始取得   无
      of manufacturing the same
332   Three-dimensional quantum well transistor        US9093354    US14/683670     中芯上海        美国     发明   2015-04-10   2015-07-28   原始取得   无
                                                                                    中芯上海、中
333   Pixel structures of cmos imaging sensors         US9123606    US14/685905                     美国     发明   2015-04-14   2015-09-01   原始取得   无
                                                                                    芯北京
      Method for cmp of high-k metal gate
334                                                    US9646840    US14/686618     中芯上海        美国     发明   2015-04-14   2017-05-09   原始取得   无
      structures
      Static memory cell and formation method
335                                                    US9287387    US14/687030     中芯上海        美国     发明   2015-04-15   2016-03-15   原始取得   无
      thereof



                                                                                  431
中芯国际集成电路制造有限公司                                                                                                                  招股意向书



序                                                                                              申请国    专利                             取得方式   他项
                          专利名称                      专利号      申请号           专利权人                     申请日       公告日         15
号                                                                                              家/地区   类型                                        权利
      Semiconductor device, related manufacturing
336                                                  US9640439   US14/690044     中芯上海        美国     发明   2015-04-17   2017-05-02   原始取得   无
      method, and related electronic device
      Semiconductor structure and fabrication
337                                                  US9646830   US14/690927     中芯上海        美国     发明   2015-04-20   2017-05-09   原始取得   无
      method thereof
      Semiconductor devices and fabrication
338                                                  US9406677   US14/691037     中芯上海        美国     发明   2015-04-20   2016-08-02   原始取得   无
      method thereof
      Semiconductor device and method of
339                                                  US9331079   US14/694355     中芯上海        美国     发明   2015-04-23   2016-05-03   原始取得   无
      manufacturing the same
340   Esd clamp circuit                              US9825022   US14/702356     中芯上海        美国     发明   2015-05-01   2017-11-21   原始取得   无
      Method for forming isolation member in
341                                                  US9570338   US14/703181     中芯上海        美国     发明   2015-05-04   2017-02-14   原始取得   无
      trench of semiconductor substrate
      Method for chemical mechanical polishing of
342                                                  US9337104   US14/709465     中芯上海        美国     发明   2015-05-11   2016-05-10   原始取得   无
      high-k metal gate structures
      Fin field-effect transistor and fabrication
343                                                  US9871120   US14/712533     中芯上海        美国     发明   2015-05-14   2018-01-16   原始取得   无
      method thereof
344   Junction-less transistors                      US9412864   US14/715178     中芯上海        美国     发明   2015-05-18   2016-08-09   原始取得   无
      Oscillator circuit and configuration method
345                                                  US9306587   US14/716879     中芯上海        美国     发明   2015-05-20   2016-04-05   原始取得   无
      thereof
      Semiconductor devices and fabrication
346                                                  US9614051   US14/716886     中芯上海        美国     发明   2015-05-20   2017-04-04   原始取得   无
      method thereof
      Semiconductor device and fabrication method                                中芯上海、中
347                                                  US9406555   US14/718335                     美国     发明   2015-05-21   2016-08-02   原始取得   无
      thereof                                                                    芯北京
      Metal interconnect structure and fabrication
348                                                  US9735011   US14/722155     中芯上海        美国     发明   2015-05-27   2017-08-15   原始取得   无
      method thereof



                                                                               432
中芯国际集成电路制造有限公司                                                                                                                   招股意向书



序                                                                                               申请国    专利                             取得方式   他项
                         专利名称                        专利号      申请号           专利权人                     申请日       公告日         15
号                                                                                               家/地区   类型                                        权利
      Fin field-effect transistors and fabrication
349                                                   US9368497   US14/722671     中芯上海        美国     发明   2015-05-27   2016-06-14   原始取得   无
      method thereof
      Semiconductor device and fabrication method                                 中芯上海、中
350                                                   US9524865   US14/722979                     美国     发明   2015-05-27   2016-12-20   原始取得   无
      thereof                                                                     芯北京
      Method for manufacturing semiconductor                                      中芯上海、中
351                                                   US9368412   US14/723346                     美国     发明   2015-05-27   2016-06-14   原始取得   无
      device                                                                      芯北京
      Electronic circuit, electronic apparatus, and
352                                                   US9614500   US14/733955     中芯上海        美国     发明   2015-06-08   2017-04-04   原始取得   无
      method for eliminating metastability
353   Layout design method and system                 US9489481   US14/735952     中芯上海        美国     发明   2015-06-10   2016-11-08   原始取得   无
      Semiconductor device and electronic
354                                                   US9716089   US14/738542     中芯上海        美国     发明   2015-06-12   2017-07-25   原始取得   无
      apparatus including the same
      Method for forming fin fet structure with
355                                                   US9312386   US14/752940     中芯上海        美国     发明   2015-06-28   2016-04-12   原始取得   无
      dual-stress spacers
      Semiconductor device, related manufacturing
356                                                   US9337206   US14/754287     中芯上海        美国     发明   2015-06-29   2016-05-10   原始取得   无
      method, and related electronic device
      Integrated circuit device and repair method
357                                                   US9508717   US14/797126     中芯上海        美国     发明   2015-07-11   2016-11-29   原始取得   无
      thereof
      Patterned feature and multiple patterning
358                                                   US9443742   US14/797130     中芯上海        美国     发明   2015-07-12   2016-09-13   原始取得   无
      method thereof
      Semiconductor device and fabrication method
359                                                   US9472668   US14/799879     中芯上海        美国     发明   2015-07-15   2016-10-18   原始取得   无
      thereof
      Photolithographic mask and fabrication
360                                                   US9829788   US14/800877     中芯上海        美国     发明   2015-07-16   2017-11-28   原始取得   无
      method thereof
361   Frequency divider and related electronic        US9634670   US14/805000     中芯上海        美国     发明   2015-07-21   2017-04-25   原始取得   无



                                                                                433
中芯国际集成电路制造有限公司                                                                                                                 招股意向书



序                                                                                             申请国    专利                             取得方式   他项
                          专利名称                     专利号      申请号           专利权人                     申请日       公告日         15
号                                                                                             家/地区   类型                                        权利
      device
      Multi-modulus frequency divider and
362                                                 US9906226   US14/805178     中芯上海        美国     发明   2015-07-21   2018-02-27   原始取得   无
      electronic apparatus including the same
      Memory device includes efuse, and methods
363                                                 US9659672   US14/809615     中芯上海        美国     发明   2015-07-27   2017-05-23   原始取得   无
      for reading and operating the same
      Memory array, memory device, and methods
364                                                 US9418763   US14/809724     中芯上海        美国     发明   2015-07-27   2016-08-16   原始取得   无
      for reading and operating the same
365   Semiconductor device                          US9640657   US14/809896     中芯上海        美国     发明   2015-07-27   2017-05-02   原始取得   无
      Semiconductor device manufacturing method
366                                                 US9406527   US14/810111     中芯上海        美国     发明   2015-07-27   2016-08-02   原始取得   无
      and related semiconductor wafer
      Chemical mechanical polishing method for
367                                                 US9490175   US14/810468     中芯上海        美国     发明   2015-07-27   2016-11-08   原始取得   无
      first interlayer dielectric layer
      Semiconductor structures and fabrication
368                                                 US9607902   US14/813435     中芯上海        美国     发明   2015-07-30   2017-03-28   原始取得   无
      methods thereof
      Method for improving adhesion between
369                                                 US9721892   US14/815813     中芯上海        美国     发明   2015-07-31   2017-08-01   原始取得   无
      porous low k dielectric and barrier layer
      Method of forming shallow trench isolations
370                                                 US9559017   US14/819508     中芯上海        美国     发明   2015-08-06   2017-01-31   原始取得   无
      for a semiconductor device
371   Static random access memory                   US9472267   US14/825072     中芯上海        美国     发明   2015-08-12   2016-10-18   原始取得   无
      Semiconductor device and fabrication method
372                                                 US9741824   US14/828569     中芯上海        美国     发明   2015-08-18   2017-08-22   原始取得   无
      thereof
      Vertical junctionless transistor device and
373                                                 US9673322   US14/830731     中芯上海        美国     发明   2015-08-19   2017-06-06   原始取得   无
      manufacturing methods
374   Semiconductor device having metal gate        US9728620   US14/831247     中芯上海        美国     发明   2015-08-20   2017-08-08   原始取得   无



                                                                              434
中芯国际集成电路制造有限公司                                                                                                                  招股意向书



序                                                                                              申请国    专利                             取得方式   他项
                          专利名称                      专利号      申请号           专利权人                     申请日       公告日         15
号                                                                                              家/地区   类型                                        权利
      structure and fabrication method thereof
      Photoresist with top-coating
375   photo-decomposable base for                    US9645499   US14/833029     中芯上海        美国     发明   2015-08-21   2017-05-09   原始取得   无
      photolithography
      Fin field-effect transistors and fabrication
376                                                  US9613868   US14/837370     中芯上海        美国     发明   2015-08-27   2017-04-04   原始取得   无
      methods thereof
      Signal receiver, related method, and related
377                                                  US9419659   US14/837484     中芯上海        美国     发明   2015-08-27   2016-08-16   原始取得   无
      electronic device
378   Interconnect structure                         US9698095   US14/838604     中芯上海        美国     发明   2015-08-28   2017-07-04   原始取得   无
379   Finfet structure and manufacture method        US9502569   US14/839915     中芯上海        美国     发明   2015-08-28   2016-11-22   原始取得   无
      Finfet ldmos device and manufacturing
380                                                  US9570606   US14/839917     中芯上海        美国     发明   2015-08-28   2017-02-14   原始取得   无
      methods
      Finfet device having a material formed on
381   reduced source/drain region and method of      US9673325   US14/842773     中芯上海        美国     发明   2015-09-01   2017-06-06   原始取得   无
      forming the same
      Tunneling field effect transistor device and
382                                                  US9508606   US14/845466     中芯上海        美国     发明   2015-09-04   2016-11-29   原始取得   无
      related manufacturing method
383   Method for forming control gate salicide       US9330924   US14/846646     中芯上海        美国     发明   2015-09-04   2016-05-03   原始取得   无
      Semiconductor structures and fabrication
384                                                  US9524933   US14/848802     中芯上海        美国     发明   2015-09-09   2016-12-20   原始取得   无
      methods thereof
      Semiconductor structure and fabrication
385                                                  US9368409   US14/848908     中芯上海        美国     发明   2015-09-09   2016-06-14   原始取得   无
      method
386   Transistor device and fabrication method       US9741819   US14/849641     中芯上海        美国     发明   2015-09-10   2017-08-22   原始取得   无
387   Charge pump with suppressed feedthrough        US9502970   US14/856540     中芯上海        美国     发明   2015-09-16   2016-11-22   原始取得   无



                                                                               435
中芯国际集成电路制造有限公司                                                                                                                   招股意向书



序                                                                                               申请国    专利                             取得方式   他项
                         专利名称                       专利号       申请号           专利权人                     申请日       公告日         15
号                                                                                               家/地区   类型                                        权利
      effect
388   Method and system for optical measurements     US9645096    US14/861273     中芯上海        美国     发明   2015-09-22   2017-05-09   原始取得   无
      Photolithography alignment mark structures,
389   semiconductor structures, and fabrication      US9620458    US14/861591     中芯上海        美国     发明   2015-09-22   2017-04-11   原始取得   无
      method thereof
      Methods for forming vertical semiconductor                                  中芯上海、中
390                                                  US9548359    US14/863400                     美国     发明   2015-09-23   2017-01-17   原始取得   无
      pillars                                                                     芯北京
      Semiconductor structures and fabrication
391                                                  US9704972    US14/865052     中芯上海        美国     发明   2015-09-25   2017-07-11   原始取得   无
      method thereof
      Method for reducing contamination in extreme
392                                                  US9665017    US14/870068     中芯上海        美国     发明   2015-09-30   2017-05-30   原始取得   无
      ultraviolet lithography light source
      Low-voltage to high-voltage level shifter
393                                                  US9543931    US14/881078     中芯上海        美国     发明   2015-10-12   2017-01-10   原始取得   无
      circuit
394   Fabrication method of interconnect structure   US9754799    US14/882581     中芯上海        美国     发明   2015-10-14   2017-09-05   原始取得   无
      Semiconductor device and fabrication method
395                                                  US9761716    US14/883675     中芯上海        美国     发明   2015-10-15   2017-09-12   原始取得   无
      thereof
      Semiconductor structures and fabrication
396                                                  US9793209    US14/884880     中芯上海        美国     发明   2015-10-16   2017-10-17   原始取得   无
      method thereof
397   Euv light source and exposure apparatus        US9332626    US14/885375     中芯上海        美国     发明   2015-10-16   2016-05-03   原始取得   无
      Frequency divider and phase-locked loop
398                                                  US9935639    US14/887101     中芯上海        美国     发明   2015-10-19   2018-04-03   原始取得   无
      including the same
399   Semiconductor structure having common gate     US10050036   US14/918467     中芯上海        美国     发明   2015-10-20   2018-08-14   原始取得   无
400   Transistor and fabrication method thereof      US9627269    US14/920193     中芯上海        美国     发明   2015-10-22   2017-04-18   原始取得   无




                                                                                436
中芯国际集成电路制造有限公司                                                                                                                    招股意向书



序                                                                                                申请国    专利                             取得方式   他项
                          专利名称                        专利号      申请号           专利权人                     申请日       公告日         15
号                                                                                                家/地区   类型                                        权利
      Method for forming semiconductor nanowire
401                                                    US9520484   US14/921919     中芯上海        美国     发明   2015-10-23   2016-12-13   原始取得   无
      transistors
402   Finfet 6t sram cell structure                    US9613682   US14/921963     中芯上海        美国     发明   2015-10-23   2017-04-04   原始取得   无
403   Transistor and fabrication method thereof        US9601620   US14/931189     中芯上海        美国     发明   2015-11-03   2017-03-21   原始取得   无
      Semiconductor device and an electronic
404                                                    US9871031   US14/934949     中芯上海        美国     发明   2015-11-06   2018-01-16   原始取得   无
      apparatus
      Semiconductor structure and fabrication
405                                                    US9640427   US14/941732     中芯上海        美国     发明   2015-11-16   2017-05-02   原始取得   无
      method thereof
      Laser annealing device including tunable mask
406                                                    US9406515   US14/944047     中芯上海        美国     发明   2015-11-17   2016-08-02   原始取得   无
      and method of using the same
      Layouts and fabrication methods for static
407                                                    US9679902   US14/947196     中芯上海        美国     发明   2015-11-20   2017-06-13   原始取得   无
      random access memory
      Semiconductor structure and fabrication
408                                                    US9640446   US14/947673     中芯上海        美国     发明   2015-11-20   2017-05-02   原始取得   无
      method thereof
      Semiconductor structure and fabrication
409                                                    US9613880   US14/958047     中芯上海        美国     发明   2015-12-03   2017-04-04   原始取得   无
      method thereof
      Finfet semiconductor device and fabrication
410                                                    US9570589   US14/959008     中芯上海        美国     发明   2015-12-04   2017-02-14   原始取得   无
      method
411   Mim capacitor                                    US9525022   US14/959396     中芯上海        美国     发明   2015-12-04   2016-12-20   原始取得   无
412   Method and device for a finfet                   US9768167   US14/962315     中芯上海        美国     发明   2015-12-08   2017-09-19   原始取得   无
      Transistor device, related method, and related
413                                                    US9748944   US14/962523     中芯上海        美国     发明   2015-12-08   2017-08-29   原始取得   无
      electronic device
      Electrically programmable fuse structure and
414                                                    US9637834   US14/965674     中芯上海        美国     发明   2015-12-10   2017-05-02   原始取得   无
      fabrication method thereof



                                                                                 437
中芯国际集成电路制造有限公司                                                                                                                     招股意向书



序                                                                                                 申请国    专利                             取得方式   他项
                         专利名称                         专利号       申请号           专利权人                     申请日       公告日         15
号                                                                                                 家/地区   类型                                        权利
      Semiconductor structure and fabrication
415                                                    US9735251    US14/970881     中芯上海        美国     发明   2015-12-16   2017-08-15   原始取得   无
      method thereof
      Fin field effect transistors and fabrication
416                                                    US9613960    US14/975917     中芯上海        美国     发明   2015-12-21   2017-04-04   原始取得   无
      method thereof
      Carbon nanotube neuron device and method
417                                                    US9502674    US14/980531     中芯上海        美国     发明   2015-12-28   2016-11-22   原始取得   无
      for making the same
      Complementary high mobility nanowire
418                                                    US9502583    US14/980623     中芯上海        美国     发明   2015-12-28   2016-11-22   原始取得   无
      neuron device
      Complementary carbon nanotube neuron
419                                                    US9490300    US14/981807     中芯上海        美国     发明   2015-12-28   2016-11-08   原始取得   无
      device
      Semiconductor structure and fabrication
420   method thereof, and static random access         US9607995    US14/986979     中芯上海        美国     发明   2016-01-04   2017-03-28   原始取得   无
      memory cell
421   Finfet device and fabrication method thereof     US9514994    US14/987192     中芯上海        美国     发明   2016-01-04   2016-12-06   原始取得   无
422   Simplified zener diode dc spice model            US10073935   US14/988610     中芯上海        美国     发明   2016-01-05   2018-09-11   原始取得   无
423   Finfet and method for forming the same           US9893182    US14/989215     中芯上海        美国     发明   2016-01-06   2018-02-13   原始取得   无
      Semiconductor device and formation method
424                                                    US9780087    US14/990149     中芯上海        美国     发明   2016-01-07   2017-10-03   原始取得   无
      thereof
      Technique of reducing shallow trench isolation
425                                                    US9646888    US14/991184     中芯上海        美国     发明   2016-01-08   2017-05-09   原始取得   无
      loss during fin formation in finfets
      Semiconductor device and fabrication method
426                                                    US9786508    US14/992448     中芯上海        美国     发明   2016-01-11   2017-10-10   原始取得   无
      thereof
      Semiconductor device, related manufacturing
427                                                    US9966379    US14/994516     中芯上海        美国     发明   2016-01-13   2018-05-08   原始取得   无
      method, and related electronic device



                                                                                  438
中芯国际集成电路制造有限公司                                                                                                                      招股意向书



序                                                                                                  申请国    专利                             取得方式   他项
                         专利名称                          专利号       申请号           专利权人                     申请日       公告日         15
号                                                                                                  家/地区   类型                                        权利
      Method for manufacturing semiconductor
428                                                     US9520483    US14/994927     中芯上海        美国     发明   2016-01-13   2016-12-13   原始取得   无
      device
      Method and device for high k metal gate
429                                                     US9570611    US15/002020     中芯上海        美国     发明   2016-01-20   2017-02-14   原始取得   无
      transistors
430   Finfet structure and method of forming same       US9899380    US15/008313     中芯上海        美国     发明   2016-01-27   2018-02-20   原始取得   无
      Semiconductor device and fabrication method
431                                                     US9437709    US15/012297     中芯上海        美国     发明   2016-02-01   2016-09-06   原始取得   无
      thereof
      Semiconductor device with three or
432                                                     US9570468    US15/013840     中芯上海        美国     发明   2016-02-02   2017-02-14   原始取得   无
      four-terminal-finfet
      Static random access memory (sram) device
433                                                     US9437597    US15/013902     中芯上海        美国     发明   2016-02-02   2016-09-06   原始取得   无
      with finfet transistors
      Method and apparatus for facilitating
434                                                     US9690891    US15/014853     中芯上海        美国     发明   2016-02-03   2017-06-27   原始取得   无
      manufacturing of semiconductor device
      Method of design rule check for off-grid
435   irregular layout with on-grid design rule check   US9798851    US15/015030     中芯上海        美国     发明   2016-02-03   2017-10-24   原始取得   无
      deck
      Semiconductor device, related manufacturing
436                                                     US9773778    US15/040573     中芯上海        美国     发明   2016-02-10   2017-09-26   原始取得   无
      method, and related electronic device
      Dual-inverter memory device and operating                                      中芯上海、中
437                                                     US9672899    US15/043165                     美国     发明   2016-02-12   2017-06-06   原始取得   无
      method thereof                                                                 芯北京
438   Semiconductor device including sti structure      US9601568    US15/052957     中芯上海        美国     发明   2016-02-25   2017-03-21   原始取得   无
      Semiconductor device including stripe
439                                                     US10403732   US15/055661     中芯上海        美国     发明   2016-02-29   2019-09-03   原始取得   无
      structures
440   Semiconductor device including small pitch        US9633851    US15/057212     中芯上海        美国     发明   2016-03-01   2017-04-25   原始取得   无



                                                                                   439
中芯国际集成电路制造有限公司                                                                                                                    招股意向书



序                                                                                                申请国    专利                             取得方式   他项
                          专利名称                        专利号      申请号           专利权人                     申请日       公告日         15
号                                                                                                家/地区   类型                                        权利
      patterns
      Fin field-effect transistor and fabrication
441                                                    US9564512   US15/059434     中芯上海        美国     发明   2016-03-03   2017-02-07   原始取得   无
      method thereof
      Semiconductor structure and fabrication
442                                                    US9978641   US15/059501     中芯上海        美国     发明   2016-03-03   2018-05-22   原始取得   无
      method thereof
      Semiconductor structure having contact holes
443   between sidewall spacers and fabrication         US9799564   US15/059635     中芯上海        美国     发明   2016-03-03   2017-10-24   原始取得   无
      method there of
      Semiconductor device manufacturing method                                    中芯上海、中
444                                                    US9947538   US15/066442                     美国     发明   2016-03-10   2018-04-17   原始取得   无
      including heat treatment                                                     芯北京
                                                                                   中芯上海、中
445   Phase-locked loop device                         US9680482   US15/066973                     美国     发明   2016-03-10   2017-06-13   原始取得   无
                                                                                   芯北京
      Semiconductor device and related
446                                                    US9825133   US15/067741     中芯上海        美国     发明   2016-03-11   2017-11-21   原始取得   无
      manufacturing method
447   Transistor and fabrication method thereof        US9748405   US15/073772     中芯上海        美国     发明   2016-03-18   2017-08-29   原始取得   无
      Zener triggered silicon controlled rectifier
448                                                    US9691753   US15/093725     中芯上海        美国     发明   2016-04-07   2017-06-27   原始取得   无
      with small silicon area
      N-type fin field-effect transistor and
449                                                    US9929267   US15/097575     中芯上海        美国     发明   2016-04-13   2018-03-27   原始取得   无
      fabrication method thereof
      Semiconductor device and related
450                                                    US9793173   US15/138963     中芯上海        美国     发明   2016-04-26   2017-10-17   原始取得   无
      manufacturing method
451   Fin field effect transistor                      US9853030   US15/147154     中芯上海        美国     发明   2016-05-05   2017-12-26   原始取得   无
      System and method for integrated circuits with
452                                                    US9673060   US15/157366     中芯上海        美国     发明   2016-05-17   2017-06-06   原始取得   无
      cylindrical gate structures



                                                                                 440
中芯国际集成电路制造有限公司                                                                                                                       招股意向书



序                                                                                                   申请国    专利                             取得方式   他项
                          专利名称                          专利号       申请号           专利权人                     申请日       公告日         15
号                                                                                                   家/地区   类型                                        权利
453   Fin field-effct transistors                        US9608061    US15/158231     中芯上海        美国     发明   2016-05-18   2017-03-28   原始取得   无
      Circuit and method for generating
454                                                      US9774326    US15/168335     中芯上海        美国     发明   2016-05-31   2017-09-26   原始取得   无
      clock-signals
      Semiconductor structure and fabrication
455                                                      US9852991    US15/168628     中芯上海        美国     发明   2016-05-31   2017-12-26   原始取得   无
      method thereof
      Semiconductor device, finfet transistor and
456                                                      US9799676    US15/169818     中芯上海        美国     发明   2016-06-01   2017-10-24   原始取得   无
      fabrication method thereof
457   Pmos transistor and fabrication method thereof     US9741820    US15/170700     中芯上海        美国     发明   2016-06-01   2017-08-22   原始取得   无
      Low voltage triggered silicon controlled
458   rectifier with high holding voltage and small      US9613946    US15/179321     中芯上海        美国     发明   2016-06-10   2017-04-04   原始取得   无
      silicon area
459   Transistor device and fabrication method           US10056465   US15/187070     中芯上海        美国     发明   2016-06-20   2018-08-21   原始取得   无
460   Transistor and fabrication method thereof          US10056301   US15/187578     中芯上海        美国     发明   2016-06-20   2018-08-21   原始取得   无
      Asynchronous successive approximation
461   register analog-to-digital converter circuit and   US9685972    US15/187647     中芯上海        美国     发明   2016-06-20   2017-06-20   原始取得   无
      method for configuring the same
      Voltage-adjusting device and related
462                                                      US9740230    US15/190179     中芯上海        美国     发明   2016-06-23   2017-08-22   原始取得   无
      voltage-adjusting method
      Extreme ultraviolet light source, exposure
463   apparatus, and integrated rotary structure         US9927601    US15/192006     中芯上海        美国     发明   2016-06-24   2018-03-27   原始取得   无
      fabricating method
                                                                                      中芯上海、中
464   Semiconductor interconnect device                  US9576897    US15/194176                     美国     发明   2016-06-27   2017-02-21   原始取得   无
                                                                                      芯北京
465   Semiconductor devices                              US9728536    US15/196972     中芯上海        美国     发明   2016-06-29   2017-08-08   原始取得   无



                                                                                    441
中芯国际集成电路制造有限公司                                                                                                                     招股意向书



序                                                                                                 申请国    专利                             取得方式   他项
                         专利名称                         专利号       申请号           专利权人                     申请日       公告日         15
号                                                                                                 家/地区   类型                                        权利
466   Method for forming photolithographic pattern     US10317801   US15/199230     中芯上海        美国     发明   2016-06-30   2019-06-11   原始取得   无
      Fin field effect transistor and fabricating
467                                                    US9716178    US15/200414     中芯上海        美国     发明   2016-07-01   2017-07-25   原始取得   无
      method thereof
      Interconnect structures and fabrication method                                中芯上海、中
468                                                    US9978646    US15/207672                     美国     发明   2016-07-12   2018-05-22   原始取得   无
      thereof                                                                       芯北京
      Fabricating method of fin-type semiconductor                                  中芯上海、中
469                                                    US9923065    US15/212984                     美国     发明   2016-07-18   2018-03-20   原始取得   无
      device                                                                        芯北京
470   Mos transistor and fabrication method            US10361283   US15/217550     中芯上海        美国     发明   2016-07-22   2019-07-23   原始取得   无
      Finfet having buffer layer between channel
471                                                    US9608115    US15/218631     中芯上海        美国     发明   2016-07-25   2017-03-28   原始取得   无
      and substrate
      Semiconductor structure and method for
472                                                    US9905465    US15/220679     中芯上海        美国     发明   2016-07-27   2018-02-27   原始取得   无
      forming the same
      Method for manufacturing a conductor to be
473                                                    US9852943    US15/221216     中芯上海        美国     发明   2016-07-27   2017-12-26   原始取得   无
      used as interconnect member
      Method for reducing loss of silicon cap layer                                 中芯上海、中
474                                                    US9685382    US15/222624                     美国     发明   2016-07-28   2017-06-20   原始取得   无
      over sige source/drain in a cmos device                                       芯北京
475   Flash memory devices                             US9799664    US15/224786     中芯上海        美国     发明   2016-08-01   2017-10-24   原始取得   无
      Semiconductor structure including patterned
476                                                    US9716007    US15/233630     中芯上海        美国     发明   2016-08-10   2017-07-25   原始取得   无
      feature
                                                                                    中芯上海、中
477   Method to thin down indium phosphide layer       US9831313    US15/236189                     美国     发明   2016-08-12   2017-11-28   原始取得   无
                                                                                    芯北京
                                                                                    中芯上海、中
478   Bjt structure design for 14nm finfet device      US10121860   US15/236329                     美国     发明   2016-08-12   2018-11-06   原始取得   无
                                                                                    芯北京
479   Method to improve gate dielectric quality for    US9911832    US15/236331     中芯上海、中    美国     发明   2016-08-12   2018-03-06   原始取得   无



                                                                                  442
中芯国际集成电路制造有限公司                                                                                                                       招股意向书



序                                                                                                   申请国    专利                             取得方式   他项
                         专利名称                           专利号       申请号           专利权人                     申请日       公告日         15
号                                                                                                   家/地区   类型                                        权利
      finfet                                                                          芯北京
      Method to enhance finfet device performance                                     中芯上海、中
480                                                      US9882030    US15/236344                     美国     发明   2016-08-12   2018-01-30   原始取得   无
      with channel stop layer depth control                                           芯北京
      Fin field-effect transistor and fabrication                                     中芯上海、中
481                                                      US10490457   US15/238573                     美国     发明   2016-08-16   2019-11-26   原始取得   无
      method thereof                                                                  芯北京
      Test structure, fabrication method, and test                                    中芯上海、中
482                                                      US10078108   US15/238616                     美国     发明   2016-08-16   2018-09-18   原始取得   无
      method                                                                          芯北京
      Wafer structure, fabrication method, and spray                                  中芯上海、中
483                                                      US9935059    US15/242559                     美国     发明   2016-08-21   2018-04-03   原始取得   无
      apparatus                                                                       芯北京
      Metal interconnect structure and fabrication                                    中芯上海、中
484                                                      US10090246   US15/242560                     美国     发明   2016-08-21   2018-10-02   原始取得   无
      method thereof                                                                  芯北京
      Semiconductor device and fabrication method                                     中芯上海、中
485                                                      US9847419    US15/242562                     美国     发明   2016-08-21   2017-12-19   原始取得   无
      for forming the same                                                            芯北京
      Shallow trench isolation structure and                                          中芯上海、中
486                                                      US10134625   US15/249205                     美国     发明   2016-08-26   2018-11-20   原始取得   无
      fabricating method thereof                                                      芯北京
      Semiconductor device including electrostatic
487   discharge (esd) protection circuit and             US9679889    US15/261558     中芯上海        美国     发明   2016-09-09   2017-06-13   原始取得   无
      manufacturing method thereof
      Electrostatic discharge protection structure and                                中芯上海、中
488                                                      US10115717   US15/262930                     美国     发明   2016-09-12   2018-10-30   原始取得   无
      fabrication method thereof                                                      芯北京
                                                                                      中芯上海、中
489   Method for repairing mask defects                  US10073338   US15/263299                     美国     发明   2016-09-12   2018-09-11   原始取得   无
                                                                                      芯北京
490   Finfet structure                                   US9966470    US15/269946     中芯上海        美国     发明   2016-09-19   2018-05-08   原始取得   无
491   Power-on reset circuit                             US10116299   US15/273472     中芯上海、中    美国     发明   2016-09-22   2018-10-30   原始取得   无



                                                                                    443
中芯国际集成电路制造有限公司                                                                                                                    招股意向书



序                                                                                                申请国    专利                             取得方式   他项
                            专利名称                     专利号       申请号           专利权人                     申请日       公告日         15
号                                                                                                家/地区   类型                                        权利
                                                                                   芯北京
      Fet with local isolation layers on s/d trench
492                                                   US10079279   US15/273951     中芯上海        美国     发明   2016-09-23   2018-09-18   原始取得   无
      sidewalls
      Electrostatic discharge protection device and                                中芯上海、中
493                                                   US10504887   US15/275030                     美国     发明   2016-09-23   2019-12-10   原始取得   无
      method for forming the same                                                  芯北京
      Method and device for reducing finfet                                        中芯上海、中
494                                                   US9922980    US15/280482                     美国     发明   2016-09-29   2018-03-20   原始取得   无
      self-heating effect                                                          芯北京
                                                                                   中芯上海、中
495   Structure for cmos metal gate stack             US10304745   US15/283113                     美国     发明   2016-09-30   2019-05-28   原始取得   无
                                                                                   芯北京
                                                                                   中芯上海、中
496   Well implantation process for finfet device     US9984939    US15/283239                     美国     发明   2016-09-30   2018-05-29   原始取得   无
                                                                                   芯北京
      Semiconductor structure and fabricating                                      中芯上海、中
497                                                   US10224248   US15/284839                     美国     发明   2016-10-04   2019-03-05   原始取得   无
      method thereof                                                               芯北京
      Semiconductor device and fabrication method                                  中芯上海、中
498                                                   US10032860   US15/286176                     美国     发明   2016-10-05   2018-07-24   原始取得   无
      thereof                                                                      芯北京
                                                                                   中芯上海、中
499   Fin-fet device and fabrication method thereof   US10037924   US15/287302                     美国     发明   2016-10-06   2018-07-31   原始取得   无
                                                                                   芯北京
      Method to improve device performance for                                     中芯上海、中
500                                                   US9978749    US15/292086                     美国     发明   2016-10-12   2018-05-22   原始取得   无
      finfet                                                                       芯北京
501   Interconnection structure                       US9761528    US15/294358     中芯上海        美国     发明   2016-10-14   2017-09-12   原始取得   无
      Signal receiver, related method, and related                                 中芯上海、中
502                                                   US10153755   US15/298811                     美国     发明   2016-10-20   2018-12-11   原始取得   无
      electronic device                                                            芯北京
      Reference voltage generator and related
503                                                   US9864388    US15/298821     中芯上海        美国     发明   2016-10-20   2018-01-09   原始取得   无
      method



                                                                                 444
中芯国际集成电路制造有限公司                                                                                                                     招股意向书



序                                                                                                 申请国    专利                             取得方式   他项
                         专利名称                         专利号       申请号           专利权人                     申请日       公告日         15
号                                                                                                 家/地区   类型                                        权利
      Semiconductor device having improved core
504                                                    US9985015    US15/299282     中芯上海        美国     发明   2016-10-20   2018-05-29   原始取得   无
      and input/output device reliability
                                                                                    中芯上海、中
505   Self-enabled bus conflict detection circuit      US9990310    US15/299453                     美国     发明   2016-10-20   2018-06-05   原始取得   无
                                                                                    芯北京
      Contact via structure and fabricating method                                  中芯上海、中
506                                                    US9978677    US15/333319                     美国     发明   2016-10-25   2018-05-22   原始取得   无
      thereof                                                                       芯北京
      Efuse bit cell, and read/write method thereof,                                中芯上海、中
507                                                    US9830996    US15/333894                     美国     发明   2016-10-25   2017-11-28   原始取得   无
      and efuse array                                                               芯北京
                                                                                    中芯上海、中
508   High voltage esd device for finfet technology    US9978741    US15/334130                     美国     发明   2016-10-25   2018-05-22   原始取得   无
                                                                                    芯北京
      Interconnection structure, fabricating method                                 中芯上海、中
509                                                    US9646865    US15/335003                     美国     发明   2016-10-26   2017-05-09   原始取得   无
      thereof, and exposure alignment system                                        芯北京
      Semiconductor structures and fabrication                                      中芯上海、中
510                                                    US9911833    US15/335248                     美国     发明   2016-10-26   2018-03-06   原始取得   无
      methods thereof                                                               芯北京
      Semiconductor structures and fabrication                                      中芯上海、中
511                                                    US10269927   US15/335595                     美国     发明   2016-10-27   2019-04-23   原始取得   无
      methods thereof                                                               芯北京
      Semiconductor device and fabrication method                                   中芯上海、中
512                                                    US10297454   US15/335777                     美国     发明   2016-10-27   2019-05-21   原始取得   无
      thereof                                                                       芯北京
                                                                                    中芯上海、中
513   Method and device for compact efuse array        US9754680    US15/336365                     美国     发明   2016-10-27   2017-09-05   原始取得   无
                                                                                    芯北京
      Semiconductor nanowire device and                                             中芯上海、中
514                                                    US10083879   US15/341943                     美国     发明   2016-11-02   2018-09-25   原始取得   无
      fabrication method thereof                                                    芯北京
      Semiconductor device and fabricating method                                   中芯上海、中
515                                                    US9985132    US15/343433                     美国     发明   2016-11-04   2018-05-29   原始取得   无
      of a gate with an epitaxial layer                                             芯北京




                                                                                  445
中芯国际集成电路制造有限公司                                                                                                                     招股意向书



序                                                                                                 申请国    专利                             取得方式   他项
                          专利名称                        专利号       申请号           专利权人                     申请日       公告日         15
号                                                                                                 家/地区   类型                                        权利
      Method to improve ge channel interfacial                                      中芯上海、中
516                                                    US10026828   US15/346586                     美国     发明   2016-11-08   2018-07-17   原始取得   无
      layer quality for cmos finfet                                                 芯北京
      Method and system for predicting
                                                                                    中芯上海、中
517   high-temperature operating life of sram          US10041994   US15/348669                     美国     发明   2016-11-10   2018-08-07   原始取得   无
                                                                                    芯北京
      devices
                                                                                    中芯上海、中
518   Method to improve hci performance for finfet     US9875944    US15/348705                     美国     发明   2016-11-10   2018-01-23   原始取得   无
                                                                                    芯北京
      Method and system for uniform deposition of                                   中芯上海、中
519                                                    US9966307    US15/348729                     美国     发明   2016-11-10   2018-05-08   原始取得   无
      metal                                                                         芯北京
      Tunneling nanotube field effect transistor and
520                                                    US9735362    US15/350504     中芯上海        美国     发明   2016-11-14   2017-08-15   原始取得   无
      manufacturing method thereof
                                                                                    中芯上海、中
521   Write-tracking circuit for memory                US9830978    US15/359587                     美国     发明   2016-11-22   2017-11-28   原始取得   无
                                                                                    芯北京
      Semiconductor device and manufacturing                                        中芯上海、中
522                                                    US10446648   US15/365825                     美国     发明   2016-11-30   2019-10-15   原始取得   无
      method thereof                                                                芯北京
523   Fin field-effect transistor                      US9755080    US15/368812     中芯上海        美国     发明   2016-12-05   2017-09-05   原始取得   无
      Semiconductor device having vertical                                          中芯上海、中
524                                                    US9831308    US15/370721                     美国     发明   2016-12-06   2017-11-28   原始取得   无
      semiconductor pillars                                                         芯北京
      Compact efuse array with different mos sizes                                  中芯上海、中
525                                                    US9747999    US15/374064                     美国     发明   2016-12-09   2017-08-29   原始取得   无
      according to physical location in a word line                                 芯北京
      Method and device to improve shallow trench                                   中芯上海、中
526                                                    US10418283   US15/376408                     美国     发明   2016-12-12   2019-09-17   原始取得   无
      isolation                                                                     芯北京
      Semiconductor device and fabrication method                                   中芯上海、中
527                                                    US10325818   US15/376511                     美国     发明   2016-12-12   2019-06-18   原始取得   无
      thereof                                                                       芯北京




                                                                                  446
中芯国际集成电路制造有限公司                                                                                                                     招股意向书



序                                                                                                 申请国    专利                             取得方式   他项
                         专利名称                         专利号       申请号           专利权人                     申请日       公告日         15
号                                                                                                 家/地区   类型                                        权利
                                                                                    中芯上海、中
528   Method and structure for finfet sram             US9985037    US15/384194                     美国     发明   2016-12-19   2018-05-29   原始取得   无
                                                                                    芯北京
      Method for reducing n-type finfet source and                                  中芯上海、中
529                                                    US9865505    US15/390279                     美国     发明   2016-12-23   2018-01-09   原始取得   无
      drain resistance                                                              芯北京
      Metal gate transistor and fabrication method                                  中芯上海、中
530                                                    US10037943   US15/392215                     美国     发明   2016-12-28   2018-07-31   原始取得   无
      thereof                                                                       芯北京
                                                                                    中芯上海、中
531   Pmos transistor and fabrication method thereof   US10497807   US15/397081                     美国     发明   2017-01-03   2019-12-03   原始取得   无
                                                                                    芯北京
                                                                                    中芯上海、中
532   Esd protection device and method                 US10153271   US15/397376                     美国     发明   2017-01-03   2018-12-11   原始取得   无
                                                                                    芯北京
                                                                                    中芯上海、中
533   Method for fabricating finfet structure          US10084092   US15/397584                     美国     发明   2017-01-03   2018-09-25   原始取得   无
                                                                                    芯北京
                                                                                    中芯上海、中
534   Mark structure and fabrication method thereof    US9773739    US15/397788                     美国     发明   2017-01-04   2017-09-26   原始取得   无
                                                                                    芯北京
                                                                                    中芯上海、中
535   D flip-flop and signal driving method            US10200018   US15/397822                     美国     发明   2017-01-04   2019-02-05   原始取得   无
                                                                                    芯北京
      Semiconductor structure and fabrication                                       中芯上海、中
536                                                    US10147816   US15/397949                     美国     发明   2017-01-04   2018-12-04   原始取得   无
      method thereof                                                                芯北京
      Fin field-effect transistor and fabrication                                   中芯上海、中
537                                                    US10121880   US15/398786                     美国     发明   2017-01-05   2018-11-06   原始取得   无
      method thereof                                                                芯北京
      Method of fabricating a semiconductor
                                                                                    中芯上海、中
538   structure by asymmetric oxidation of fin         US10050130   US15/398817                     美国     发明   2017-01-05   2018-08-14   原始取得   无
                                                                                    芯北京
      material formed under gate stack
539   Low core power leakage structure in io           US9831879    US15/399462     中芯上海、中    美国     发明   2017-01-05   2017-11-28   原始取得   无




                                                                                  447
中芯国际集成电路制造有限公司                                                                                                                  招股意向书



序                                                                                              申请国    专利                             取得方式   他项
                         专利名称                      专利号       申请号           专利权人                     申请日       公告日         15
号                                                                                              家/地区   类型                                        权利
      receiver during io power down                                              芯北京
                                                                                 中芯上海、中
540   Finfet varactor                               US9985144    US15/400201                     美国     发明   2017-01-06   2018-05-29   原始取得   无
                                                                                 芯北京
      Semiconductor device and related                                           中芯上海、中
541                                                 US10056302   US15/405150                     美国     发明   2017-01-12   2018-08-21   原始取得   无
      manufacturing method                                                       芯北京
      Asar adc circuit and conversion method                                     中芯上海、中
542                                                 US9755659    US15/407334                     美国     发明   2017-01-17   2017-09-05   原始取得   无
      thereof                                                                    芯北京
      Static random access memory and fabrication                                中芯上海、中
543                                                 US10332892   US15/407387                     美国     发明   2017-01-17   2019-06-25   原始取得   无
      method thereof                                                             芯北京
544   Semiconductor structures                      US9911742    US15/427942     中芯上海        美国     发明   2017-02-08   2018-03-06   原始取得   无
                                                                                 中芯上海、中
545   Charge pump voltage regulator                 US10014768   US15/435519                     美国     发明   2017-02-17   2018-07-03   原始取得   无
                                                                                 芯北京
                                                                                 中芯上海、中
546   Transistor and fabrication method thereof     US10347493   US15/435557                     美国     发明   2017-02-17   2019-07-09   原始取得   无
                                                                                 芯北京
                                                                                 中芯上海、中
547   Crystal oscillator circuit                    US10425085   US15/436892                     美国     发明   2017-02-20   2019-09-24   原始取得   无
                                                                                 芯北京
      Ldmos transistor and fabrication method                                    中芯上海、中
548                                                 US10002960   US15/436905                     美国     发明   2017-02-20   2018-06-19   原始取得   无
      thereof                                                                    芯北京
                                                                                 中芯上海、中
549   Input-output receiver                         US10243564   US15/436911                     美国     发明   2017-02-20   2019-03-26   原始取得   无
                                                                                 芯北京
      Nanowire device and method of
550                                                 US9876079    US15/439181     中芯上海        美国     发明   2017-02-22   2018-01-23   原始取得   无
      manufacturing the same
      Photolithography alignment mark structures
551                                                 US10163806   US15/445076     中芯上海        美国     发明   2017-02-28   2018-12-25   原始取得   无
      and semiconductor structures



                                                                               448
中芯国际集成电路制造有限公司                                                                                                                    招股意向书



序                                                                                                申请国    专利                             取得方式   他项
                        专利名称                         专利号       申请号           专利权人                     申请日       公告日         15
号                                                                                                家/地区   类型                                        权利
      Semiconductor structure and fabrication                                      中芯上海、中
552                                                   US9929182    US15/452788                     美国     发明   2017-03-08   2018-03-27   原始取得   无
      method thereof                                                               芯北京
      Semiconductor memory device and fabrication                                  中芯上海、中
553                                                   US9922985    US15/452869                     美国     发明   2017-03-08   2018-03-20   原始取得   无
      method thereof                                                               芯北京
      Ldmos transistor, esd device, and fabrication                                中芯上海、中
554                                                   US10395931   US15/471612                     美国     发明   2017-03-28   2019-08-27   原始取得   无
      method thereof                                                               芯北京
                                                                                   中芯上海、中
555   Ldmos design for a finfet device                US10043804   US15/471983                     美国     发明   2017-03-28   2018-08-07   原始取得   无
                                                                                   芯北京
      Method and device for compound                                               中芯上海、中
556                                                   US10374065   US15/473164                     美国     发明   2017-03-29   2019-08-06   原始取得   无
      semiconductor fin structure                                                  芯北京
                                                                                   中芯上海、中
557   Ldmos finfet device                             US10340274   US15/473183                     美国     发明   2017-03-29   2019-07-02   原始取得   无
                                                                                   芯北京
      Increasing thickness of functional layer                                     中芯上海、中
558                                                   US10388655   US15/473318                     美国     发明   2017-03-29   2019-08-20   原始取得   无
      according to increasing recess area                                          芯北京
      Semiconductor structure and fabrication                                      中芯上海、中
559                                                   US10319839   US15/473703                     美国     发明   2017-03-30   2019-06-11   原始取得   无
      method thereof                                                               芯北京
      Fin-fet devices and fabrication methods                                      中芯上海、中
560                                                   US10297595   US15/473726                     美国     发明   2017-03-30   2019-05-21   原始取得   无
      thereof                                                                      芯北京
      Semiconductor structure and fabrication                                      中芯上海、中
561                                                   US10062572   US15/476249                     美国     发明   2017-03-31   2018-08-28   原始取得   无
      method thereof                                                               芯北京
      Semiconductor fabrication method including                                   中芯上海、中
562                                                   US10090170   US15/477153                     美国     发明   2017-04-03   2018-10-02   原始取得   无
      non-uniform cover layer                                                      芯北京
      Memory and reference circuit calibration                                     中芯上海、中
563                                                   US10008246   US15/477215                     美国     发明   2017-04-03   2018-06-26   原始取得   无
      method thereof                                                               芯北京




                                                                                 449
中芯国际集成电路制造有限公司                                                                                                                    招股意向书



序                                                                                                申请国    专利                             取得方式   他项
                            专利名称                     专利号       申请号           专利权人                     申请日       公告日         15
号                                                                                                家/地区   类型                                        权利
      Method for forming semiconductor structure                                   中芯上海、中
564                                                   US10090156   US15/477250                     美国     发明   2017-04-03   2018-10-02   原始取得   无
      having stress layers                                                         芯北京
      Field-effect-transistors and fabrication                                     中芯上海、中
565                                                   US10211203   US15/477394                     美国     发明   2017-04-03   2019-02-19   原始取得   无
      methods thereof                                                              芯北京
      Method and device for finfet with graphene                                   中芯上海、中
566                                                   US10361196   US15/477815                     美国     发明   2017-04-03   2019-07-23   原始取得   无
      nanoribbon                                                                   芯北京
      Method for capping cu layer using graphene in                                中芯上海、中
567                                                   US9929096    US15/477829                     美国     发明   2017-04-03   2018-03-27   原始取得   无
      semiconductor                                                                芯北京
      Chemical mechanical polishing simulation                                     中芯上海、中
568                                                   US10496785   US15/482880                     美国     发明   2017-04-10   2019-12-03   原始取得   无
      methods and simulation devices thereof                                       芯北京
      Method to optimize standard cells                                            中芯上海、中
569                                                   US10262097   US15/483516                     美国     发明   2017-04-10   2019-04-16   原始取得   无
      manufacturability                                                            芯北京
                                                                                   中芯上海、中
570   Method for forming finfet device                US10008495   US15/483554                     美国     发明   2017-04-10   2018-06-26   原始取得   无
                                                                                   芯北京
      Frequency divider circuit and a frequency                                    中芯上海、中
571                                                   US10374620   US15/488202                     美国     发明   2017-04-14   2019-08-06   原始取得   无
      synthesizer circuit                                                          芯北京
      Mos-varactor design to improve tuning                                        中芯上海、中
572                                                   US10186593   US15/489768                     美国     发明   2017-04-18   2019-01-22   原始取得   无
      efficiency                                                                   芯北京
      Fin-fet devices and fabrication methods                                      中芯上海、中
573                                                   US10090306   US15/491501                     美国     发明   2017-04-19   2018-10-02   原始取得   无
      thereof                                                                      芯北京
      Methods for reading and operating memory
574                                                   US9991002    US15/492491     中芯上海        美国     发明   2017-04-20   2018-06-05   原始取得   无
      device including efuse
      Methods for reading and operating memory
575                                                   US9991003    US15/492531     中芯上海        美国     发明   2017-04-20   2018-06-05   原始取得   无
      device including efuse




                                                                                 450
中芯国际集成电路制造有限公司                                                                                                                       招股意向书



序                                                                                                   申请国    专利                             取得方式   他项
                         专利名称                           专利号       申请号           专利权人                     申请日       公告日         15
号                                                                                                   家/地区   类型                                        权利
      Semiconductor device with high-k gate                                           中芯上海、中
576                                                      US10431501   US15/494607                     美国     发明   2017-04-24   2019-10-01   原始取得   无
      dielectric layer and fabrication method thereof                                 芯北京
      Semiconductor structure and fabrication                                         中芯上海、中
577                                                      US10002869   US15/494691                     美国     发明   2017-04-24   2018-06-19   原始取得   无
      method thereof                                                                  芯北京
      Finfet devices having a material formed on
578                                                      US10290724   US15/498272     中芯上海        美国     发明   2017-04-26   2019-05-14   原始取得   无
      reduced source/drain region
579   Vertical junctionless transistor devices           US10103268   US15/582288     中芯上海        美国     发明   2017-04-28   2018-10-16   原始取得   无
      Alignment method and alignment system                                           中芯上海、中
580                                                      US10048603   US15/587544                     美国     发明   2017-05-05   2018-08-14   原始取得   无
      thereof                                                                         芯北京
      Semiconductor device and manufacturing                                          中芯上海、中
581                                                      US10483263   US15/603754                     美国     发明   2017-05-24   2019-11-19   原始取得   无
      method therefor                                                                 芯北京
      Semiconductor device and manufacturing                                          中芯上海、中
582                                                      US10026841   US15/603768                     美国     发明   2017-05-24   2018-07-17   原始取得   无
      method therefor                                                                 芯北京
                                                                                      中芯上海、中
583   Epi integrality on source/drain region of finfet   US10332980   US15/612805                     美国     发明   2017-06-02   2019-06-25   原始取得   无
                                                                                      芯北京
      Semiconductor device and manufacturing                                          中芯上海、中
584                                                      US10103082   US15/613717                     美国     发明   2017-06-05   2018-10-16   原始取得   无
      method therefor                                                                 芯北京
      Contact structure and associated method for                                     中芯上海、中
585                                                      US10242910   US15/624846                     美国     发明   2017-06-16   2019-03-26   原始取得   无
      flash memory                                                                    芯北京
      Semiconductor interconnect structure and                                        中芯上海、中
586                                                      US10290539   US15/627961                     美国     发明   2017-06-20   2019-05-14   原始取得   无
      manufacturing method thereof                                                    芯北京
      Semiconductor device and related
587                                                      US9991082    US15/631113     中芯上海        美国     发明   2017-06-23   2018-06-05   原始取得   无
      manufacturing method
588   Non-volatile memories and data reading             US10410727   US15/641781     中芯上海、中    美国     发明   2017-07-05   2019-09-10   原始取得   无



                                                                                    451
中芯国际集成电路制造有限公司                                                                                                                       招股意向书



序                                                                                                   申请国    专利                             取得方式   他项
                        专利名称                            专利号       申请号           专利权人                     申请日       公告日         15
号                                                                                                   家/地区   类型                                        权利
      methods thereof                                                                 芯北京
589   Metal interconnect structure                       US10373826   US15/644089     中芯上海        美国     发明   2017-07-07   2019-08-06   原始取得   无
590   Semiconductor structure                            US10002944   US15/645013     中芯上海        美国     发明   2017-07-10   2018-06-19   原始取得   无
      Method and device for temperature                                               中芯上海、中
591                                                      US9970981    US15/648408                     美国     发明   2017-07-12   2018-05-15   原始取得   无
      measurement of finfet devices                                                   芯北京
      Semiconductor device and manufacture                                            中芯上海、中
592                                                      US10115628   US15/651918                     美国     发明   2017-07-17   2018-10-30   原始取得   无
      thereof                                                                         芯北京
593   Transistor and fabrication method thereof          US10026850   US15/655322     中芯上海        美国     发明   2017-07-20   2018-07-17   原始取得   无
      Semiconductor structure and fabrication                                         中芯上海、中
594                                                      US10347747   US15/658623                     美国     发明   2017-07-25   2019-07-09   原始取得   无
      method thereof                                                                  芯北京
      Top-down method for fabricating nanowire                                        中芯上海、中
595                                                      US10304926   US15/662242                     美国     发明   2017-07-27   2019-05-28   原始取得   无
      device                                                                          芯北京
      Electrostatic discharge protection structure and                                中芯上海、中
596                                                      US10186507   US15/662849                     美国     发明   2017-07-28   2019-01-22   原始取得   无
      fabricating method thereof                                                      芯北京
      Semiconductor structures and fabrication                                        中芯上海、中
597                                                      US10096518   US15/665651                     美国     发明   2017-08-01   2018-10-09   原始取得   无
      methods thereof                                                                 芯北京
      Semiconductor device and fabrication method                                     中芯上海、中
598                                                      US10157771   US15/665695                     美国     发明   2017-08-01   2018-12-18   原始取得   无
      thereof                                                                         芯北京
      Semiconductor structure and fabrication                                         中芯上海、中
599                                                      US10177246   US15/666838                     美国     发明   2017-08-02   2019-01-08   原始取得   无
      method thereof                                                                  芯北京
      Method for correcting target patterns and mask                                  中芯上海、中
600                                                      US10423062   US15/667956                     美国     发明   2017-08-03   2019-09-24   原始取得   无
      having corrected target patterns                                                芯北京
      Laterally diffused metal-oxide-semiconductor                                    中芯上海、中
601                                                      US10199494   US15/669322                     美国     发明   2017-08-04   2019-02-05   原始取得   无
      devices and fabrication methods thereof                                         芯北京



                                                                                    452
中芯国际集成电路制造有限公司                                                                                                                    招股意向书



序                                                                                                申请国    专利                             取得方式   他项
                            专利名称                     专利号       申请号           专利权人                     申请日       公告日         15
号                                                                                                家/地区   类型                                        权利
      Gate structures and fabrication methods                                      中芯上海、中
602                                                   US10211108   US15/673547                     美国     发明   2017-08-10   2019-02-19   原始取得   无
      thereof                                                                      芯北京
      Semiconductor structure and fabrication                                      中芯上海、中
603                                                   US10347578   US15/673628                     美国     发明   2017-08-10   2019-07-09   原始取得   无
      method thereof                                                               芯北京
604   Device for a finfet                             US10157919   US15/676354     中芯上海        美国     发明   2017-08-14   2018-12-18   原始取得   无
      Semiconductor structure and fabrication                                      中芯上海、中
605                                                   US10340271   US15/679362                     美国     发明   2017-08-17   2019-07-02   原始取得   无
      method thereof                                                               芯北京
      Memory array, and method for reading,                                        中芯上海、中
606                                                   US10204688   US15/679412                     美国     发明   2017-08-17   2019-02-12   原始取得   无
      programming and erasing memory array                                         芯北京
      Semiconductor device and manufacturing                                       中芯上海、中
607                                                   US10312329   US15/683029                     美国     发明   2017-08-22   2019-06-04   原始取得   无
      method therefor                                                              芯北京
      Method for forming a semiconductor device                                    中芯上海、中
608                                                   US10121872   US15/683106                     美国     发明   2017-08-22   2018-11-06   原始取得   无
      comprising a selectively grown graphene layer                                芯北京
      Semiconductor device and manufacturing                                       中芯上海、中
609                                                   US10134849   US15/683237                     美国     发明   2017-08-22   2018-11-20   原始取得   无
      method thereof                                                               芯北京
                                                                                   中芯上海、中
610   Method to improve finfet device performance     US10340385   US15/688524                     美国     发明   2017-08-28   2019-07-02   原始取得   无
                                                                                   芯北京
611   Semiconductor device                            US10446402   US15/697905     中芯上海        美国     发明   2017-09-07   2019-10-15   原始取得   无
612   Semiconductor structures                        US10396032   US15/704568     中芯上海        美国     发明   2017-09-14   2019-08-27   原始取得   无
      Semiconductor device and related
613                                                   US10373880   US15/704623     中芯上海        美国     发明   2017-09-14   2019-08-06   原始取得   无
      manufacturing method
      Defect inspection method and apparatus using                                 中芯上海、中
614                                                   US10416091   US15/706409                     美国     发明   2017-09-15   2019-09-17   原始取得   无
      micro lens matrix                                                            芯北京
615   Semiconductor device and finfet transistor      US10134761   US15/707250     中芯上海        美国     发明   2017-09-18   2018-11-20   原始取得   无



                                                                                 453
中芯国际集成电路制造有限公司                                                                                                                       招股意向书



序                                                                                                   申请国    专利                             取得方式   他项
                         专利名称                           专利号       申请号           专利权人                     申请日       公告日         15
号                                                                                                   家/地区   类型                                        权利
      Semiconductor structure having contact holes
616                                                      US10134639   US15/707543     中芯上海        美国     发明   2017-09-18   2018-11-20   原始取得   无
      between sidewall spacers
                                                                                      中芯上海、中
617   Method for testing inter-layer connections         US10267840   US15/709267                     美国     发明   2017-09-19   2019-04-23   原始取得   无
                                                                                      芯北京
                                                                                      中芯上海、中
618   Bandgap with system sleep mode                     US10522196   US15/714935                     美国     发明   2017-09-25   2019-12-31   原始取得   无
                                                                                      芯北京
      Method for fabricating damascene structure                                      中芯上海、中
619                                                      US10504883   US15/720734                     美国     发明   2017-09-29   2019-12-10   原始取得   无
      using fluorocarbon film                                                         芯北京
      Semiconductor structures and fabrication                                        中芯上海、中
620                                                      US10177145   US15/721295                     美国     发明   2017-09-29   2019-01-08   原始取得   无
      methods thereof                                                                 芯北京
      Electrostatic discharge protection structure and                                中芯上海、中
621                                                      US10354993   US15/725854                     美国     发明   2017-10-05   2019-07-16   原始取得   无
      fabricating method thereof                                                      芯北京
      Fin-fet devices and fabrication methods                                         中芯上海、中
622                                                      US10269972   US15/726183                     美国     发明   2017-10-05   2019-04-23   原始取得   无
      thereof                                                                         芯北京
      Semiconductor structure with improved                                           中芯上海、中
623                                                      US10177146   US15/726644                     美国     发明   2017-10-06   2019-01-08   原始取得   无
      punch-through and fabrication method thereof                                    芯北京
                                                                                      中芯上海、中
624   Channel stop imp for the finfet device             US10367058   US15/728184                     美国     发明   2017-10-09   2019-07-30   原始取得   无
                                                                                      芯北京
                                                                                      中芯上海、中
625   Fin cut process and fin structure                  US10325813   US15/728204                     美国     发明   2017-10-09   2019-06-18   原始取得   无
                                                                                      芯北京
      Fin-fet devices and fabrication methods                                         中芯上海、中
626                                                      US10388573   US15/783854                     美国     发明   2017-10-13   2019-08-20   原始取得   无
      thereof                                                                         芯北京
                                                                                      中芯上海、中
627   Finfet device and fabrication method thereof       US10312155   US15/784357                     美国     发明   2017-10-16   2019-06-04   原始取得   无
                                                                                      芯北京




                                                                                    454
中芯国际集成电路制造有限公司                                                                                                                    招股意向书



序                                                                                                申请国    专利                             取得方式   他项
                         专利名称                        专利号       申请号           专利权人                     申请日       公告日         15
号                                                                                                家/地区   类型                                        权利
                                                                                   中芯上海、中
628   Fin-fet device and fabrication method thereof   US10297511   US15/785071                     美国     发明   2017-10-16   2019-05-21   原始取得   无
                                                                                   芯北京
      Semiconductor apparatus and manufacturing                                    中芯上海、中
629                                                   US10256153   US15/786250                     美国     发明   2017-10-17   2019-04-09   原始取得   无
      method                                                                       芯北京
                                                                                   中芯上海、中
630   Balun structure                                 US10374571   US15/792291                     美国     发明   2017-10-24   2019-08-06   原始取得   无
                                                                                   芯北京
      Fin field-effect transistor and fabrication                                  中芯上海、中
631                                                   US10269645   US15/792439                     美国     发明   2017-10-24   2019-04-23   原始取得   无
      method thereof                                                               芯北京
632   Photolithographic mask                          US9946155    US15/793579     中芯上海        美国     发明   2017-10-25   2018-04-17   原始取得   无
      Integrated semiconductor device and
633                                                   US10128231   US15/794876     中芯北京        美国     发明   2017-10-26   2018-11-13   原始取得   无
      manufacturing method therefor
      Semiconductor structure and fabrication                                      中芯上海、中
634                                                   US10269659   US15/799706                     美国     发明   2017-10-31   2019-04-23   原始取得   无
      method thereof                                                               芯北京
      Dynamic random access memory and                                             中芯上海、中
635                                                   US10475798   US15/804846                     美国     发明   2017-11-06   2019-11-12   原始取得   无
      fabrication method thereof                                                   芯北京
      Semiconductor structure and fabrication                                      中芯上海、中
636                                                   US10199383   US15/808781                     美国     发明   2017-11-09   2019-02-05   原始取得   无
      method thereof                                                               芯北京
      Semiconductor device and fabrication method                                  中芯上海、中
637                                                   US10121700   US15/809120                     美国     发明   2017-11-10   2018-11-06   原始取得   无
      thereof                                                                      芯北京
      Retention voltage generation circuit and                                     中芯上海、中
638                                                   US10283192   US15/809387                     美国     发明   2017-11-10   2019-05-07   原始取得   无
      electronic apparatus                                                         芯北京
      Semiconductor structure and fabrication                                      中芯上海、中
639                                                   US10347496   US15/813420                     美国     发明   2017-11-15   2019-07-09   原始取得   无
      method thereof                                                               芯北京
640   Semiconductor device and manufacturing          US10461188   US15/814154     中芯上海、中    美国     发明   2017-11-15   2019-10-29   原始取得   无



                                                                                 455
中芯国际集成电路制造有限公司                                                                                                                     招股意向书



序                                                                                                 申请国    专利                             取得方式   他项
                          专利名称                        专利号       申请号           专利权人                     申请日       公告日         15
号                                                                                                 家/地区   类型                                        权利
      method therefor                                                               芯北京
                                                                                    中芯上海、中
641   Photomask manufacturing method                   US10373841   US15/816673                     美国     发明   2017-11-17   2019-08-06   原始取得   无
                                                                                    芯北京
                                                                                    中芯上海、中
642   Current source and digital to analog converter   US10312934   US15/817830                     美国     发明   2017-11-20   2019-06-04   原始取得   无
                                                                                    芯北京
                                                                                    中芯上海、中
643   Low dropout regulator (ldo) circuit              US10261533   US15/821295                     美国     发明   2017-11-22   2019-04-16   原始取得   无
                                                                                    芯北京
      Semiconductor structures and fabrication                                      中芯上海、中
644                                                    US10199382   US15/821422                     美国     发明   2017-11-22   2019-02-05   原始取得   无
      methods thereof                                                               芯北京
      Interconnection structures and fabrication                                    中芯上海、中
645                                                    US10453797   US15/821581                     美国     发明   2017-11-22   2019-10-22   原始取得   无
      methods thereof                                                               芯北京
      Semiconductor structure, static random access                                 中芯上海、中
646                                                    US10256243   US15/824830                     美国     发明   2017-11-28   2019-04-09   原始取得   无
      memory, and fabrication method thereof                                        芯北京
                                                                                    中芯上海、中
647   Sram devices and fabrication methods thereof     US10192790   US15/826043                     美国     发明   2017-11-29   2019-01-29   原始取得   无
                                                                                    芯北京
      Semiconductor structure and fabrication                                       中芯上海、中
648                                                    US10199297   US15/828190                     美国     发明   2017-11-30   2019-02-05   原始取得   无
      method thereof                                                                芯北京
      Semiconductor device and fabrication method                                   中芯上海、中
649                                                    US10439042   US15/829453                     美国     发明   2017-12-01   2019-10-08   原始取得   无
      thereof                                                                       芯北京
      Semiconductor structure and fabrication                                       中芯上海、中
650                                                    US10453690   US15/834843                     美国     发明   2017-12-07   2019-10-22   原始取得   无
      method thereof                                                                芯北京
651   Fin field-effct transistor                       US10431671   US15/835081     中芯上海        美国     发明   2017-12-07   2019-10-01   原始取得   无
      Semiconductor structure and fabrication                                       中芯上海、中
652                                                    US10418286   US15/843316                     美国     发明   2017-12-15   2019-09-17   原始取得   无
      method thereof                                                                芯北京



                                                                                  456
中芯国际集成电路制造有限公司                                                                                                                      招股意向书



序                                                                                                  申请国    专利                             取得方式   他项
                         专利名称                          专利号       申请号           专利权人                     申请日       公告日         15
号                                                                                                  家/地区   类型                                        权利
      Packaging structure and fabrication method                                     中芯上海、中
653                                                     US10446474   US15/851007                     美国     发明   2017-12-21   2019-10-15   原始取得   无
      thereof                                                                        芯北京
      Semiconductor devices and fabrication                                          中芯上海、中
654                                                     US10332895   US15/856323                     美国     发明   2017-12-28   2019-06-25   原始取得   无
      methods thereof                                                                芯北京
      Semiconductor device having interconnect
655                                                     US10090155   US15/861376     中芯上海        美国     发明   2018-01-03   2018-10-02   原始取得   无
      structure
      Semiconductor structure and fabrication                                        中芯上海、中
656                                                     US10186598   US15/862569                     美国     发明   2018-01-04   2019-01-22   原始取得   无
      method thereof                                                                 芯北京
      Semiconductor structure and fabrication                                        中芯上海、中
657                                                     US10424662   US15/863480                     美国     发明   2018-01-05   2019-09-24   原始取得   无
      method thereof                                                                 芯北京
      Semiconductor structure and method for
658                                                     US10504781   US15/868343     中芯上海        美国     发明   2018-01-11   2019-12-10   原始取得   无
      forming the same
659   Cylindrical germanium nanowire device             US10468505   US15/870253     中芯上海        美国     发明   2018-01-12   2019-11-05   原始取得   无
      Semiconductor structures and fabrication                                       中芯上海、中
660                                                     US10211062   US15/872167                     美国     发明   2018-01-16   2019-02-19   原始取得   无
      methods thereof                                                                芯北京
      Level-shifter circuits and methods of using the                                中芯上海、中
661                                                     US10469086   US15/872230                     美国     发明   2018-01-16   2019-11-05   原始取得   无
      same                                                                           芯北京
      Manufacturing method for dual work-function                                    中芯上海、中
662                                                     US10403553   US15/872306                     美国     发明   2018-01-16   2019-09-03   原始取得   无
      metal gates                                                                    芯北京
                                                                                     中芯上海、中
663   Mask pattern correction method                    US10423063   US15/874064                     美国     发明   2018-01-18   2019-09-24   原始取得   无
                                                                                     芯北京
      Detection circuits, detection method, and                                      中芯上海、中
664                                                     US10502781   US15/874371                     美国     发明   2018-01-18   2019-12-10   原始取得   无
      electronic systems for i/o output status                                       芯北京
665   Semiconductor memory device                       US10177162   US15/890062     中芯上海、中    美国     发明   2018-02-06   2019-01-08   原始取得   无



                                                                                   457
中芯国际集成电路制造有限公司                                                                                                                    招股意向书



序                                                                                                申请国    专利                             取得方式   他项
                         专利名称                        专利号       申请号           专利权人                     申请日       公告日         15
号                                                                                                家/地区   类型                                        权利
                                                                                   芯北京
                                                                                   中芯上海、中
666   Fin-type semiconductor device                   US10312333   US15/893319                     美国     发明   2018-02-09   2019-06-04   原始取得   无
                                                                                   芯北京
      Method and structure for improving dielectric                                中芯上海、中
667                                                   US10418287   US15/894755                     美国     发明   2018-02-12   2019-09-17   原始取得   无
      reliability of cmos device                                                   芯北京
                                                                                   中芯上海、中
668   Semiconductor structure                         US10424599   US15/895430                     美国     发明   2018-02-13   2019-09-24   原始取得   无
                                                                                   芯北京
669   N-type fin field-effect transistor              US10490663   US15/895594     中芯上海        美国     发明   2018-02-13   2019-11-26   原始取得   无
      Power amplifier circuit with adjustable bias                                 中芯上海、中
670                                                   US10439566   US15/902969                     美国     发明   2018-02-22   2019-10-08   原始取得   无
      voltage                                                                      芯北京
                                                                                   中芯上海、中
671   Method to improve hkmg contact resistance       US10510858   US15/904175                     美国     发明   2018-02-23   2019-12-17   原始取得   无
                                                                                   芯北京
      Method for manufacturing finfets by
      implanting counter-doped regions in                                          中芯上海、中
672                                                   US10361288   US15/909285                     美国     发明   2018-03-01   2019-07-23   原始取得   无
      lightly-doped s/d extensions away from the                                   芯北京
      channel
      Semiconductor structure and fabrication                                      中芯上海、中
673                                                   US10446666   US15/912145                     美国     发明   2018-03-05   2019-10-15   原始取得   无
      method thereof                                                               芯北京
      Fin field effect transistor and fabrication                                  中芯上海、中
674                                                   US10460996   US15/912218                     美国     发明   2018-03-05   2019-10-29   原始取得   无
      method thereof                                                               芯北京
      Comparator and successive approximation                                      中芯上海、中
675                                                   US10079611   US15/916517                     美国     发明   2018-03-09   2018-09-18   原始取得   无
      analog-to-digital converter thereof                                          芯北京
                                                                                   中芯上海、中
676   Self-heating test structure                     US10418362   US15/918644                     美国     发明   2018-03-12   2019-09-17   原始取得   无
                                                                                   芯北京




                                                                                 458
中芯国际集成电路制造有限公司                                                                                                                    招股意向书



序                                                                                                申请国    专利                             取得方式   他项
                        专利名称                         专利号       申请号           专利权人                     申请日       公告日         15
号                                                                                                家/地区   类型                                        权利
                                                                                   中芯上海、中
677   Sti-diode structure                             US10396174   US15/918674                     美国     发明   2018-03-12   2019-08-27   原始取得   无
                                                                                   芯北京
                                                                                   中芯上海、中
678   Semiconductor device and operation thereof      US10192868   US15/919380                     美国     发明   2018-03-13   2019-01-29   原始取得   无
                                                                                   芯北京
      Switched-capacitor circuit, a radio frequency
                                                                                   中芯上海、中
679   device, and a switched-capacitor circuit        US10348287   US15/923676                     美国     发明   2018-03-16   2019-07-09   原始取得   无
                                                                                   芯北京
      manufacturing method
                                                                                   中芯上海、中
680   Method to form hybrid sige fin                  US10403546   US15/925326                     美国     发明   2018-03-19   2019-09-03   原始取得   无
                                                                                   芯北京
                                                                                   中芯上海、中
681   Channel stop imp for finfet device              US10403741   US15/925390                     美国     发明   2018-03-19   2019-09-03   原始取得   无
                                                                                   芯北京
      Semiconductor structure and fabrication                                      中芯上海、中
682                                                   US10483371   US15/925974                     美国     发明   2018-03-20   2019-11-19   原始取得   无
      method thereof                                                               芯北京
      Method and device having low contact                                         中芯上海、中
683                                                   US10446684   US15/935888                     美国     发明   2018-03-26   2019-10-15   原始取得   无
      resistance                                                                   芯北京
      Semiconductor structure of interconnect and                                  中芯上海、中
684                                                   US10483162   US15/936766                     美国     发明   2018-03-27   2019-11-19   原始取得   无
      fabrication method thereof                                                   芯北京
                                                                                   中芯上海、中
685   Apparatus for uniform metal deposition          US10217663   US15/938899                     美国     发明   2018-03-28   2019-02-26   原始取得   无
                                                                                   芯北京
      Semiconductor structure and fabrication                                      中芯上海、中
686                                                   US10361305   US15/941225                     美国     发明   2018-03-30   2019-07-23   原始取得   无
      method thereof                                                               芯北京
      Semiconductor device and fabrication method                                  中芯上海、中
687                                                   US10373911   US15/945883                     美国     发明   2018-04-05   2019-08-06   原始取得   无
      thereof                                                                      芯北京
688   Semiconductor device and fabrication method     US10446652   US15/945915     中芯上海、中    美国     发明   2018-04-05   2019-10-15   原始取得   无




                                                                                 459
中芯国际集成电路制造有限公司                                                                                                                        招股意向书



序                                                                                                    申请国    专利                             取得方式   他项
                           专利名称                          专利号       申请号           专利权人                     申请日       公告日         15
号                                                                                                    家/地区   类型                                        权利
      thereof                                                                          芯北京
      Semiconductor device, related manufacturing
689                                                       US10431588   US15/947525     中芯上海        美国     发明   2018-04-06   2019-10-01   原始取得   无
      method, and related electronic device
      Semiconductor structure and fabrication
690                                                       US10410920   US15/958555     中芯上海        美国     发明   2018-04-20   2019-09-10   原始取得   无
      method thereof
      Method and device to reduce finfet sram                                          中芯上海、中
691                                                       US10332891   US15/967471                     美国     发明   2018-04-30   2019-06-25   原始取得   无
      contact resistance                                                               芯北京
      Semiconductor structures and fabrication                                         中芯上海、中
692                                                       US10411118   US15/970565                     美国     发明   2018-05-03   2019-09-10   原始取得   无
      methods thereof                                                                  芯北京
      Semiconductor devices and fabrication                                            中芯上海、中
693                                                       US10431498   US15/971002                     美国     发明   2018-05-04   2019-10-01   原始取得   无
      methods thereof                                                                  芯北京
                                                                                       中芯上海、中
694   Finfet device and fabrication method thereof        US10453962   US15/971144                     美国     发明   2018-05-04   2019-10-22   原始取得   无
                                                                                       芯北京
      Semiconductor device and manufacturing                                           中芯上海、中
695                                                       US10418454   US15/973711                     美国     发明   2018-05-08   2019-09-17   原始取得   无
      method therefor                                                                  芯北京
      Method for manufacturing semiconductor                                           中芯上海、中
696                                                       US10381464   US15/973978                     美国     发明   2018-05-08   2019-08-13   原始取得   无
      device                                                                           芯北京
      Manufacturing method of a resistance device                                      中芯上海、中
697                                                       US10522613   US15/974136                     美国     发明   2018-05-08   2019-12-31   原始取得   无
      having a fin                                                                     芯北京
      Vertical tunneling field effect transistor device                                中芯上海、中
698                                                       US10446660   US15/974426                     美国     发明   2018-05-08   2019-10-15   原始取得   无
      and fabrication method thereof                                                   芯北京
      Dc-dc conversion circuit system and forming                                      中芯上海、中
699                                                       US10211738   US15/976090                     美国     发明   2018-05-10   2019-02-19   原始取得   无
      method thereof                                                                   芯北京
700   Electro-static discharge transistor array           US10396066   US15/980081     中芯上海、中    美国     发明   2018-05-15   2019-08-27   原始取得   无



                                                                                     460
中芯国际集成电路制造有限公司                                                                                                                  招股意向书



序                                                                                              申请国    专利                             取得方式   他项
                        专利名称                       专利号       申请号           专利权人                     申请日       公告日         15
号                                                                                              家/地区   类型                                        权利
      apparatus                                                                  芯北京
      Power supply circuit, power supply circuit
                                                                                 中芯上海、中
701   generation method, and power supply circuit   US10429873   US15/980107                     美国     发明   2018-05-15   2019-10-01   原始取得   无
                                                                                 芯北京
      control method
      Static random-access memory (sram) and                                     中芯上海、中
702                                                 US10490561   US15/981505                     美国     发明   2018-05-16   2019-11-26   原始取得   无
      manufacture thereof                                                        芯北京
                                                                                 中芯上海、中
703   Fan-out structure and manufacture thereof     US10446511   US15/981522                     美国     发明   2018-05-16   2019-10-15   原始取得   无
                                                                                 芯北京
      Semiconductor devices and fabrication                                      中芯上海、中
704                                                 US10388575   US15/985278                     美国     发明   2018-05-21   2019-08-20   原始取得   无
      methods thereof                                                            芯北京
                                                                                 中芯上海、中
705   Finfet device                                 US10347629   US15/991332                     美国     发明   2018-05-29   2019-07-09   原始取得   无
                                                                                 芯北京
      Semiconductor devices and fabrication                                      中芯上海、中
706                                                 US10403549   US15/993974                     美国     发明   2018-05-31   2019-09-03   原始取得   无
      methods thereof                                                            芯北京
      Semiconductor device and manufacture                                       中芯上海、中
707                                                 US10453968   US15/994520                     美国     发明   2018-05-31   2019-10-22   原始取得   无
      thereof                                                                    芯北京
      Semiconductor device providing improved
                                                                                 中芯上海、中
708   read and write margin, and manufacturing      US10490652   US15/995265                     美国     发明   2018-06-01   2019-11-26   原始取得   无
                                                                                 芯北京
      method for the same
      Method of improving surface smoothness of                                  中芯上海、中
709                                                 US10312163   US15/995283                     美国     发明   2018-06-01   2019-06-04   原始取得   无
      dummy gate                                                                 芯北京
      Semiconductor device and fabrication method                                中芯上海、中
710                                                 US10297509   US16/005307                     美国     发明   2018-06-11   2019-05-21   原始取得   无
      thereof                                                                    芯北京
711   Semiconductor structure and fabrication       US10497614   US16/006053     中芯上海、中    美国     发明   2018-06-12   2019-12-03   原始取得   无




                                                                               461
中芯国际集成电路制造有限公司                                                                                                                       招股意向书



序                                                                                                   申请国    专利                             取得方式   他项
                          专利名称                          专利号       申请号           专利权人                     申请日       公告日         15
号                                                                                                   家/地区   类型                                        权利
      method thereof                                                                  芯北京
                                                                                      中芯上海、中
712   Metal gate transistor                              US10192828   US16/019888                     美国     发明   2018-06-27   2019-01-29   原始取得   无
                                                                                      芯北京
      Power supply circuit and a method of                                            中芯上海、中
713                                                      US10386881   US16/021746                     美国     发明   2018-06-28   2019-08-20   原始取得   无
      controlling the same                                                            芯北京
      Method for manufacturing semiconductor                                          中芯上海、中
714                                                      US10395927   US16/023200                     美国     发明   2018-06-29   2019-08-27   原始取得   无
      device                                                                          芯北京
      Semiconductor structure and fabrication                                         中芯上海、中
715                                                      US10522632   US16/025724                     美国     发明   2018-07-02   2019-12-31   原始取得   无
      method thereof                                                                  芯北京
      Static random access memory and fabrication                                     中芯上海、中
716                                                      US10297603   US16/032838                     美国     发明   2018-07-11   2019-05-21   原始取得   无
      method thereof                                                                  芯北京
      Tunnel field-effect transistor and fabrication                                  中芯上海、中
717                                                      US10475884   US16/036693                     美国     发明   2018-07-16   2019-11-12   原始取得   无
      method thereof                                                                  芯北京
      Semiconductor structure, manufacturing
                                                                                      中芯上海、中
718   method therefor, and high-k metal gate fin         US10522684   US16/039085                     美国     发明   2018-07-18   2019-12-31   原始取得   无
                                                                                      芯北京
      field-effect transistor
      High voltage level shifting (hvls) circuit and                                  中芯上海、中
719                                                      US10382040   US16/041640                     美国     发明   2018-07-20   2019-08-13   原始取得   无
      related semiconductor devices                                                   芯北京
      Semiconductor structure and fabrication                                         中芯上海、中
720                                                      US10453921   US16/043040                     美国     发明   2018-07-23   2019-10-22   原始取得   无
      method thereof                                                                  芯北京
      Semiconductor device and method for                                             中芯上海、中
721                                                      US10522685   US16/106625                     美国     发明   2018-08-21   2019-12-31   原始取得   无
      manufacturing the same                                                          芯北京
      Electrostatic discharge protection structure and                                中芯上海、中
722                                                      US10446538   US16/136671                     美国     发明   2018-09-20   2019-10-15   原始取得   无
      fabrication method thereof                                                      芯北京




                                                                                    462
中芯国际集成电路制造有限公司                                                                                                                       招股意向书



序                                                                                                  申请国    专利                             取得方式     他项
                        专利名称                           专利号       申请号           专利权人                     申请日       公告日         15
号                                                                                                  家/地区   类型                                          权利
                                                                                     中芯上海、中
723   Semiconductor structure with barrier layers       US10418461   US16/218748                     美国     发明   2018-12-13   2019-09-17   原始取得     无
                                                                                     芯北京
                                                                                     中芯上海、中
724   Static random-access memory (sram) devices        US10446450   US16/225346                     美国     发明   2018-12-19   2019-10-15   原始取得     无
                                                                                     芯北京
                                                                                     中芯上海、中
725   Semiconductor structure                           US10411023   US16/236787                     美国     发明   2018-12-31   2019-09-10   原始取得     无
                                                                                     芯北京
                                                                                     中芯上海、中
726   Semiconductor structure                           US10510548   US16/422006                     美国     发明   2019-05-24   2019-12-17   原始取得     无
                                                                                     芯北京
                                                                                     中芯上海、中
727   Semiconductor structure                           US10522463   US16/422655                     美国     发明   2019-05-24   2019-12-31   原始取得     无
                                                                                     芯北京
                                                                                                                                               中芯上海:
      Semiconductor device with amorphous silicon
                                                                                     中芯上海、中                                              原始取得;
728   monos memory cell structure and method for        US8143666    US12/576231                     美国     发明   2009-10-08   2012-03-27                无
                                                                                     芯北京                                                    中芯北京:
      manufacturing thereof
                                                                                                                                               继受取得
                                                                                                                                               中芯上海:
      System and method for test structure on a                                      中芯上海、中                                              原始取得;
729                                                     US8415663    US12/616749                     美国     发明   2009-11-11   2013-04-09                无
      wafer                                                                          芯北京                                                    中芯北京:
                                                                                                                                               继受取得
                                                                                                                                               中芯上海:
      System and method for i/o esd protection with
                                                                                     中芯上海、中                                              原始取得;
730   polysilicon regions fabricated by processes for   US8283726    US12/623363                     美国     发明   2009-11-20   2012-10-09                无
                                                                                     芯北京                                                    中芯北京:
      making core transistors
                                                                                                                                               继受取得
      System and method for                                                          中芯上海、中                                              中芯上海:
731                                                     US8217471    US12/650494                     美国     发明   2009-12-30   2012-07-10                无
      metal-oxide-semiconductor field effect                                         芯北京                                                    原始取得;




                                                                                   463
中芯国际集成电路制造有限公司                                                                                                                     招股意向书



序                                                                                                申请国    专利                             取得方式     他项
                         专利名称                         专利号      申请号           专利权人                     申请日       公告日         15
号                                                                                                家/地区   类型                                          权利
      transistor                                                                                                                             中芯北京:
                                                                                                                                             继受取得
                                                                                                                                             中芯上海:
      Method for manufacturing twin bit structure                                  中芯上海、中                                              原始取得;
732                                                    US8114732   US12/704502                     美国     发明   2010-02-11   2012-02-14                无
      cell with al2o3/nano-crystalline si layer                                    芯北京                                                    中芯北京:
                                                                                                                                             继受取得
      Rapid thermal annealing method for a
733                                                    US8249737   US12/708469     中芯北京        美国     发明   2010-02-18   2012-08-21   继受取得     无
      semiconductor device
                                                                                                                                             中芯上海:
      System and method for quality assurance for
                                                                                   中芯上海、中                                              原始取得;
734   reticles used in manufacturing of integrated     US8319959   US12/724276                     美国     发明   2010-03-15   2012-11-27                无
                                                                                   芯北京                                                    中芯北京:
      circuits
                                                                                                                                             继受取得
                                                                                                                                             中芯上海:
      Method for dual energy implantation for
                                                                                   中芯上海、中                                              原始取得;
735   ultra-shallow junction formation of mos          US8466050   US12/830241                     美国     发明   2010-07-02   2013-06-18                无
                                                                                   芯北京                                                    中芯北京:
      devices
                                                                                                                                             继受取得
                                                                                                                                             中芯上海:
      Multilayer oxide on nitride on oxide structure
                                                                                   中芯上海、中                                              原始取得;
736   and method for the manufacture of                US8269311   US12/845651                     美国     发明   2010-07-28   2012-09-18                无
                                                                                   芯北京                                                    中芯北京:
      semiconductor devices
                                                                                                                                             继受取得
      Green transistor for resistive random access
737                                                    US8208286   US12/861622     中芯北京        美国     发明   2010-08-23   2012-06-26   继受取得     无
      memory and method of operating the same
      Method of rapid thermal treatment using high                                 中芯上海、中                                              中芯上海:
738                                                    US8309472   US12/869620                     美国     发明   2010-08-26   2012-11-13                无
      energy electromagnetic radiation of a                                        芯北京                                                    原始取得;




                                                                                 464
中芯国际集成电路制造有限公司                                                                                                                      招股意向书



序                                                                                                 申请国    专利                             取得方式     他项
                            专利名称                       专利号      申请号           专利权人                     申请日       公告日         15
号                                                                                                 家/地区   类型                                          权利
      semiconductor substrate for formation of                                                                                                中芯北京:
      epitaxial materials                                                                                                                     继受取得
      Green transistor for nano-si ferro-electric ram
739                                                     US8264863   US12/869941     中芯北京        美国     发明   2010-08-27   2012-09-11   继受取得     无
      and method of operating the same
                                                                                                                                              中芯上海:
      Via contact structures and methods for                                        中芯上海、中                                              原始取得;
740                                                     US8329529   US12/885248                     美国     发明   2010-09-17   2012-12-11                无
      integrated circuits                                                           芯北京                                                    中芯北京:
                                                                                                                                              继受取得
                                                                                                                                              中芯上海:
      Apparatus and method for calibrating                                          中芯上海、中                                              原始取得;
741                                                     US9293354   US12/891738                     美国     发明   2010-09-27   2016-03-22                无
      lithography process                                                           芯北京                                                    中芯北京:
                                                                                                                                              继受取得
                                                                                                                                              中芯上海:
      Method and system for manufacturing                                           中芯上海、中                                              原始取得;
742                                                     US8395200   US12/950973                     美国     发明   2010-11-19   2013-03-12                无
      copper-based capacitor                                                        芯北京                                                    中芯北京:
                                                                                                                                              继受取得
                                                                                                                                              中芯上海:
      System and method for input pin esd
                                                                                    中芯上海、中                                              原始取得;
743   protection with floating and/or biased            US8319286   US12/979306                     美国     发明   2010-12-27   2012-11-27                无
                                                                                    芯北京                                                    中芯北京:
      polysilicon regions
                                                                                                                                              继受取得




                                                                                  465
中芯国际集成电路制造有限公司                                                                                                             招股意向书




     附表二:主要商标情况16

序号                   注册商标图案                   商标权人          注册号        注册地区   类别        注册有效期限          取得方式   他项权利


     1                                                中芯上海          9436366       中国境内    9     2012-05-28 至 2022-05-27   原始取得      无




     2                                                中芯上海          9436365       中国境内   40     2012-06-21 至 2022-06-20   原始取得      无




     3                                                中芯上海          3933285       中国境内    9     2009-06-28 至 2029-06-27   原始取得      无




     4                                                中芯上海          3933286       中国境内   40     2006-10-14 至 2026-10-13   原始取得      无




     5                                                中芯上海          8147343       中国境内    9     2012-03-28 至 2022-03-27   原始取得      无




     6                                                中芯上海          8249306       中国境内   40     2011-08-07 至 2021-08-06   原始取得      无




16
     本附表二系截至报告期末登记在发行人及其子公司名下的与其生产经营相关的主要商标。



                                                                              466
中芯国际集成电路制造有限公司                                                                              招股意向书



序号             注册商标图案   商标权人   注册号      注册地区   类别        注册有效期限          取得方式   他项权利


 7                              中芯上海   8686359     中国境内   41     2011-10-07 至 2021-10-06   原始取得      无




 8                              中芯上海   8147397     中国境内    9     2012-03-28 至 2022-03-27   原始取得      无




 9                              中芯上海   8157402     中国境内   40     2011-05-07 至 2021-05-06   原始取得      无




 10                             中芯上海   8147409     中国境内    9     2012-03-28 至 2022-03-27   原始取得      无




 11                             中芯上海   8249305     中国境内   40     2011-08-07 至 2021-08-06   原始取得      无




 12                             中芯上海   1797385     中国境内    9     2002-06-28 至 2022-06-27   原始取得      无




 13                             中芯上海   8157404     中国境内   40     2011-05-07 至 2021-05-06   原始取得      无



 14                             中芯上海   8157420     中国境内    9     2011-04-07 至 2021-04-06   原始取得      无


 15                             中芯上海   8249304     中国境内   40     2011-08-07 至 2021-08-06   原始取得      无




                                                 467
中芯国际集成电路制造有限公司                                                                              招股意向书



序号             注册商标图案   商标权人   注册号      注册地区   类别        注册有效期限          取得方式   他项权利


 16                             中芯上海   5619861     中国境内    9     2009-12-21 至 2029-12-20   原始取得      无




 17                             中芯上海   5619863     中国境内   42     2010-05-07 至 2030-05-06   原始取得      无




 18                             中芯上海   8157421     中国境内    9     2011-04-07 至 2021-04-06   原始取得      无




 19                             中芯上海   3933287     中国境内    9     2006-03-28 至 2026-03-27   原始取得      无




 20                             中芯上海   3933288     中国境内   40     2006-10-14 至 2026-10-13   原始取得      无




 21                             中芯上海   14450375    中国境内    9     2015-06-07 至 2025-06-06   原始取得      无




                                                 468
中芯国际集成电路制造有限公司                                                                              招股意向书



序号             注册商标图案   商标权人   注册号      注册地区   类别        注册有效期限          取得方式   他项权利




 22                             中芯上海   14450388    中国境内   16     2015-06-14 至 2025-06-13   原始取得      无




 23                             中芯上海   14450408    中国境内   35     2015-06-07 至 2025-06-06   原始取得      无




 24                             中芯上海   14450431    中国境内   38     2015-06-07 至 2025-06-06   原始取得      无




 25                             中芯上海   14450448    中国境内   41     2015-06-07 至 2025-06-06   原始取得      无




 26                             中芯上海   14450494    中国境内   42     2015-06-07 至 2025-06-06   原始取得      无




                                                 469
中芯国际集成电路制造有限公司                                                                              招股意向书



序号             注册商标图案   商标权人   注册号      注册地区   类别        注册有效期限          取得方式   他项权利




 27                             中芯上海   14450508    中国境内   45     2015-06-07 至 2025-06-06   原始取得      无




 28                             中芯上海   16643993    中国境内   42     2016-06-14 至 2026-06-13   原始取得      无




 29                             中芯上海   16643657    中国境内   16     2016-05-28 至 2026-05-27   原始取得      无




 30                             中芯上海   16643712    中国境内   35     2016-06-14 至 2026-06-13   原始取得      无




 31                             中芯上海   16643834    中国境内   38     2016-06-21 至 2026-06-20   原始取得      无




 32                             中芯上海   16643920    中国境内   41     2016-06-14 至 2026-06-13   原始取得      无




                                                 470
中芯国际集成电路制造有限公司                                                                              招股意向书



序号             注册商标图案   商标权人   注册号      注册地区   类别        注册有效期限          取得方式   他项权利



 33                             中芯上海   16645130    中国境内   40     2016-07-14 至 2026-07-13   原始取得      无




 34                             中芯上海   18854067    中国境内    9     2017-02-21 至 2027-02-20   原始取得      无




 35                             中芯上海   10598491    中国境内    9     2015-04-07 至 2025-04-06   原始取得      无




 36                             中芯长电   19039153    中国境内   40     2017-12-21 至 2027-12-20   原始取得      无




 37                             中芯长电   19039155    中国境内    9     2017-06-14 至 2027-06-13   原始取得      无




                                                 471
中芯国际集成电路制造有限公司                                                                              招股意向书



序号             注册商标图案   商标权人   注册号      注册地区   类别        注册有效期限          取得方式   他项权利




 38                             中芯长电   18942476    中国境内   35     2017-02-28 至 2027-02-27   原始取得      无




 39                             中芯长电   18942891    中国境内   16     2017-02-28 至 2027-02-27   原始取得      无




 40                             中芯长电   19058388    中国境内   16     2017-03-21 至 2027-03-20   原始取得      无




 41                             中芯长电   19096773    中国境内    9     2017-03-21 至 2027-03-20   原始取得      无




 42                             中芯长电   19058934    中国境内   42     2017-03-07 至 2027-03-06   原始取得      无




                                                 472
中芯国际集成电路制造有限公司                                                                              招股意向书



序号             注册商标图案   商标权人   注册号      注册地区   类别        注册有效期限          取得方式   他项权利



 43                             中芯长电   19058726    中国境内   41     2017-03-07 至 2027-03-06   原始取得      无




 44                             中芯长电   19058602    中国境内   35     2017-03-07 至 2027-03-06   原始取得      无




 45                             中芯长电   19058325    中国境内   16     2017-03-07 至 2027-03-06   原始取得      无




 46                             中芯长电   19096774    中国境内    9     2017-03-21 至 2027-03-20   原始取得      无




 47                             中芯长电   19039152    中国境内   40     2017-03-07 至 2027-03-06   原始取得      无




 48                             中芯长电   25283607    中国境内   40     2018-07-07 至 2028-07-06   原始取得      无




                                                 473
中芯国际集成电路制造有限公司                                                                              招股意向书



序号             注册商标图案   商标权人    注册号     注册地区   类别        注册有效期限          取得方式   他项权利


 49                             中芯长电   29859077    中国境内    9     2019-01-28 至 2029-01-27   原始取得      无




 50                             中芯长电   29848450    中国境内   40     2019-01-28 至 2029-01-27   原始取得      无




 51                             中芯长电   33315457A   中国境内    9     2019-07-14 至 2029-07-13   原始取得      无




 52                             中芯长电   19096771    中国境内   40     2017-03-21 至 2027-03-20   原始取得      无




 53                             中芯长电   18942655    中国境内   41     2017-02-28 至 2027-02-27   原始取得      无




 54                             中芯长电   18942730    中国境内   42     2017-02-28 至 2027-02-27   原始取得      无




                                                 474
中芯国际集成电路制造有限公司                                                                                    招股意向书



序号             注册商标图案   商标权人    注册号      注册地区     类别           注册有效期限          取得方式   他项权利


 55                             中芯长电   19058958     中国境内      42       2017-03-07 至 2027-03-06   原始取得      无




 56                             中芯长电   19058795     中国境内      41       2017-03-07 至 2027-03-06   原始取得      无




 57                             中芯长电   19096772     中国境内      40       2017-03-21 至 2027-03-20   原始取得      无




 58                             中芯长电   19058595     中国境内      35       2017-03-07 至 2027-03-06   原始取得      无




 59                             中芯长电   18058124     中国境内      9        2017-11-21 至 2027-11-20   原始取得      无




 60                             中芯上海   301867177    中国香港   9、40、42   2011-03-23 至 2021-03-22   原始取得      无




                                                  475
中芯国际集成电路制造有限公司                                                                                    招股意向书



序号             注册商标图案   商标权人    注册号      注册地区     类别           注册有效期限          取得方式   他项权利



 61                             中芯上海   300167634    中国香港    9、40      2004-03-01 至 2024-02-28   原始取得      无




 62                             中芯上海   301431666    中国香港      42       2009-09-18 至 2029-09-17   原始取得      无




 63                             中芯上海   301431648    中国香港   9、40、42   2009-09-18 至 2029-09-17   原始取得      无




 64                             中芯上海   301431675    中国香港   9、40、42   2009-09-18 至 2029-09-17   原始取得      无




 65                             中芯上海   00172938     中国台湾      40       2002-11-16 至 2022-11-15   原始取得      无




                                                  476
中芯国际集成电路制造有限公司                                                                                           招股意向书



序号             注册商标图案   商标权人       注册号        注册地区     类别             注册有效期限          取得方式   他项权利




 66                             中芯上海       4232214         美国     9、40、42     2012-10-30 至 2022-10-29   原始取得      无




                                                                        9、39、40、
 67                             中芯上海      016008625        欧洲                   2016-11-07 至 2026-11-07   原始取得      无
                                                                           42




 68                             中芯上海   302016000125950    意大利    9、40、42     2016-12-13 至 2026-12-13   原始取得      无




                                                     477
中芯国际集成电路制造有限公司                                                                                           招股意向书



序号             注册商标图案   商标权人       注册号        注册地区     类别             注册有效期限          取得方式   他项权利


                                                                        9、39、40、
 69                             中芯上海      016008674        欧洲                   2016-11-07 至 2026-11-07   原始取得      无
                                                                           42




 70                             中芯上海   302016000125969    意大利    9、40、42     2016-12-13 至 2026-12-13   原始取得      无




                                                     478
中芯国际集成电路制造有限公司                                                                              招股意向书




 附表三:集成电路布图设计专有权

序号                 布图设计名称          登记号         专有权人    申请日       登记日      取得方式     他项权利
 1     PLVDSTX_28_4_LVTTL_OV3       BS.145502759          中芯上海   2014-12-31   2015-03-27   原始取得        无
 2     USB11                        BS.145503054          中芯上海   2014-12-31   2015-03-25   原始取得        无
 3     DUP                          BS.145503062          中芯上海   2014-12-31   2015-03-20   原始取得        无
 4     5VTOL                        BS.145503070          中芯上海   2014-12-31   2015-03-24   原始取得        无
 5     Clamp                        BS.145503089          中芯上海   2014-12-31   2015-03-20   原始取得        无
 6     OSCIO                        BS.145503097          中芯上海   2014-12-31   2015-03-25   原始取得        无
 7     RFIO                         BS.145503100          中芯上海   2014-12-31   2015-03-20   原始取得        无
 8     mipiUSBIO                    BS.145503119          中芯上海   2014-12-31   2015-03-24   原始取得        无
 9     CARDIO                       BS.145503127          中芯上海   2014-12-31   2015-03-20   原始取得        无
 10    STDIO                        BS.145503135          中芯上海   2014-12-31   2015-03-24   原始取得        无
 11    S40NLLUHSSP_XDEC             BS.145503143          中芯上海   2014-12-31   2015-03-20   原始取得        无
 12    S40NLLUHSSP-YMUX4SA          BS.145503151          中芯上海   2014-12-31   2015-03-24   原始取得        无
 13    S40NLLUHSSP-YMUX8SA          BS.14550316X          中芯上海   2014-12-31   2015-03-20   原始取得        无
 14    S013EEHSROMP-YMUX32SA        BS.145503178          中芯上海   2014-12-31   2015-03-25   原始取得        无
 15    SCC40NLL_HDC40_STD           BS.145503186          中芯上海   2014-12-31   2015-03-20   原始取得        无
 16    SCC40NLL_HDC40_ECO           BS.145503194          中芯上海   2014-12-31   2015-03-24   原始取得        无
 17    SCC40NLL_HDC40_PMK1          BS.145503208          中芯上海   2014-12-31   2015-03-20   原始取得        无
 18    SCC40NLL_HDC40_PMK2          BS.145503216          中芯上海   2014-12-31   2015-03-24   原始取得        无
 19    SCC40NLL_HSC40_STD           BS.145503224          中芯上海   2014-12-31   2015-03-20   原始取得        无
 20    SCC40NLL_VHSC40_STD          BS.145503232          中芯上海   2014-12-31   2015-03-24   原始取得        无




                                                    479
中芯国际集成电路制造有限公司                                                                                      招股意向书



序号                   布图设计名称                登记号         专有权人    申请日       登记日      取得方式     他项权利
 21    S40NLLEFUSE_PIPO256B                 BS.145502740          中芯上海   2014-12-31   2015-03-20   原始取得        无
 22    S40NLLEFUSE_PIPO8KB                  BS.145503011          中芯上海   2014-12-31   2015-03-24   原始取得        无
 23    S55NLLEFUSE1Kqual_F2                 BS.14550302X          中芯上海   2014-12-31   2015-03-20   原始取得        无
 24    S40NLLAD2G_8MUX                      BS.145503038          中芯上海   2014-12-31   2015-03-25   原始取得        无
 25    S40NLLEFUSE_PIPO256B_NSU&Clampcell   BS.145503046          中芯上海   2014-12-31   2015-03-25   原始取得        无
 26    S40NLL_POR11C                        BS.145503003          中芯上海   2014-12-31   2015-03-20   原始取得        无
 27    S40NLL_POR33C                        BS.145502996          中芯上海   2014-12-31   2015-03-25   原始取得        无
 28    S40NLL_VR3311_100mA_Capless_V0p1     BS.145502988          中芯上海   2014-12-31   2015-03-20   原始取得        无
 29    S40NLLDLL_ALD125                     BS.14550297X          中芯上海   2014-12-31   2015-03-24   原始取得        无
 30    S40NLLDA3310BCDP_54_H                BS.145502961          中芯上海   2014-12-31   2015-03-20   原始取得        无
 31    S55NLLEFUSE_PIPO128B                 BS.145502953          中芯上海   2014-12-31   2015-03-25   原始取得        无
 32    S55NLLEFUSE_PIPO4K_R                 BS.145502945          中芯上海   2014-12-31   2015-03-20   原始取得        无
 33    S55NLLEFUSE_PIPO4K4M                 BS.145502937          中芯上海   2014-12-31   2015-03-24   原始取得        无
 34    S55NLLDA10B_200                      BS.145502910          中芯上海   2014-12-31   2015-03-24   原始取得        无
 35    S55NLLEFUSE_PIPO4K_R_split           BS.145502929          中芯上海   2014-12-31   2015-03-20   原始取得        无
 36    S55NLLEFUSE_SISO96B5M                BS.145502902          中芯上海   2014-12-31   2015-03-20   原始取得        无
 37    S65NLLDA3310BCDP_54_H                BS.145502899          中芯上海   2014-12-31   2015-03-24   原始取得        无
 38    S65NLLDA3310B4C_300_H                BS.145502880          中芯上海   2014-12-31   2015-03-20   原始取得        无
 39    SP65NLLD2P_POR12C_3P3V_M7_MTT        BS.145502872          中芯上海   2014-12-31   2015-03-24   原始取得        无
 40    S013LXAD2J                           BS.145502783          中芯上海   2014-12-31   2015-03-20   原始取得        无
 41    S013LXPLLGS_T500                     BS.145502791          中芯上海   2014-12-31   2015-03-24   原始取得        无
 42    S013PLLGD_600M2                      BS.145502805          中芯上海   2014-12-31   2015-03-20   原始取得        无
 43    S013EE_LDO5015_30mA_Capless          BS.145502813          中芯上海   2014-12-31   2015-03-24   原始取得        无
 44    S013EP_POR15C                        BS.145502775          中芯上海   2014-12-31   2015-03-24   原始取得        无




                                                            480
中芯国际集成电路制造有限公司                                                                                   招股意向书



序号                     布图设计名称           登记号         专有权人    申请日       登记日      取得方式     他项权利
 45    S153EFUSE_SISO256B_F2             BS.145502767          中芯上海   2014-12-31   2015-03-20   原始取得        无
 46    S40NLLPLLGS_ZP1500                BS.145502821          中芯上海   2014-12-31   2015-03-20   原始取得        无
 47    S011EFUSE_IP_QUAL                 BS.14550283X          中芯上海   2014-12-31   2015-03-24   原始取得        无
 48    SP013D3RP_VR3325_EFUSE_E          BS.145502848          中芯上海   2014-12-31   2015-03-23   原始取得        无
 49    S65NLLEFUSE_SISO96B5M             BS.145502856          中芯上海   2014-12-31   2015-03-24   原始取得        无
 50    S65NLLDA10B4CSC_200S              BS.145502864          中芯上海   2014-12-31   2015-03-20   原始取得        无
 51    S018EE16KB_LPI                    BS.145502732          中芯上海   2014-12-31   2015-03-24   原始取得        无
 52    S013EE16KB                        BS.145502716          中芯上海   2014-12-31   2015-03-25   原始取得        无
 53    S013EE10KX36_DV1215               BS.145502724          中芯上海   2014-12-31   2015-03-20   原始取得        无
 54    STDIO                             BS.155012576          中芯上海   2015-12-31   2016-03-24   原始取得        无
 55    PowerCut                          BS.155012630          中芯上海   2015-12-31   2016-03-24   原始取得        无
 56    Schmitt-trigger                   BS.155012681          中芯上海   2015-12-31   2016-03-24   原始取得        无
 57    ESDdiode                          BS.155012509          中芯上海   2015-12-31   2016-03-24   原始取得        无
 58    SP40NLLD2P_MIPI                   BS.155012436          中芯上海   2015-12-31   2016-03-24   原始取得        无
 59    28PLL                             BS.155012495          中芯上海   2015-12-31   2016-03-24   原始取得        无
 60    SPC28NHKD18RNP_XTAL               BS.155012487          中芯上海   2015-12-31   2016-03-24   原始取得        无
 61    FCPAD                             BS.155012479          中芯上海   2015-12-31   2016-03-24   原始取得        无
 62    ESDDiode                          BS.155012525          中芯上海   2015-12-31   2016-03-24   原始取得        无
 63    SPC55NLLD2P_SUBLVDS_RX            BS.155012584          中芯上海   2015-12-31   2016-03-24   原始取得        无
 64    S95ULPHDSP_XDEC                   BS.155012592          中芯上海   2015-12-31   2016-03-24   原始取得        无
 65    S95ULPHDSP_YMUX8SA                BS.155012606          中芯上海   2015-12-31   2016-03-24   原始取得        无
 66    SCC95HV_HS_STD cell template      BS.155012614          中芯上海   2015-12-31   2016-03-24   原始取得        无
 67    SCC95HV_UHD_STD cell template     BS.155012622          中芯上海   2015-12-31   2016-03-24   原始取得        无
 68    SCC95ULP_HS33_STD cell template   BS.15501255X          中芯上海   2015-12-31   2016-03-24   原始取得        无




                                                         481
中芯国际集成电路制造有限公司                                                                                 招股意向书



序号                    布图设计名称          登记号         专有权人    申请日       登记日      取得方式     他项权利
 69    S011EFUSE_IP_QUAL               BS.155012673          中芯上海   2015-12-31   2016-03-24   原始取得        无
 70    S013CISEFUSE                    BS.155012444          中芯上海   2015-12-31   2016-03-24   原始取得        无
 71    S013EFROSC_200M                 BS.155012754          中芯上海   2015-12-31   2016-03-24   原始取得        无
 72    S018BCDEFUSE_IP_QUAL_S3         BS.155012770          中芯上海   2015-12-31   2016-03-24   原始取得        无
 73    S28NPSEFUSE_PIPO4KB             BS.155012789          中芯上海   2015-12-31   2016-03-24   原始取得        无
 74    S28NPSEFUSE_PIPO512B            BS.15501272X          中芯上海   2015-12-31   2016-03-24   原始取得        无
 75    S55NLLDA3310B_200               BS.155012711          中芯上海   2015-12-31   2016-03-24   原始取得        无
 76    S55NLLEFUSE_PIPO4KB_F2          BS.155012703          中芯上海   2015-12-31   2016-03-24   原始取得        无
 77    S65NLLEFUSE_PIPO4KB_F2          BS.155012746          中芯上海   2015-12-31   2016-03-24   原始取得        无
 78    S65NLLEFUSE_PIPO512B_F2         BS.155012541          中芯上海   2015-12-31   2016-03-24   原始取得        无
 79    S013EE4KBS                      BS.155012762          中芯上海   2015-12-31   2016-03-24   原始取得        无
 80    S013EE12KX36_DV1215_V1P0_ECOB   BS.15501269X          中芯上海   2015-12-31   2016-03-24   原始取得        无
 81    S013EE20KX36_DV1215             BS.155012665          中芯上海   2015-12-31   2016-03-24   原始取得        无
 82    S013EE32KBS_PM                  BS.155012533          中芯上海   2015-12-31   2016-03-24   原始取得        无
 83    S013EE64KB                      BS.155012568          中芯上海   2015-12-31   2016-03-24   原始取得        无
 84    S018EE1KB_LPI_CTM               BS.155012517          中芯上海   2015-12-31   2016-03-24   原始取得        无
 85    S018EE6KB_LPI                   BS.155012657          中芯上海   2015-12-31   2016-03-24   原始取得        无
 86    S018EE9KB_LPI                   BS.155012460          中芯上海   2015-12-31   2016-03-24   原始取得        无
 87    S018EE20KX32S_V1P4_ECOC         BS.155012649          中芯上海   2015-12-31   2016-03-24   原始取得        无
 88    S018EE64KB_SC                   BS.155012452          中芯上海   2015-12-31   2016-03-24   原始取得        无
 89    S018EE512B_DV1833               BS.165520876          中芯上海   2016-12-26   2017-03-16   原始取得        无
 90    S55NEF64KX32_L3                 BS.165520884          中芯上海   2016-12-27   2017-03-13   原始取得        无
 91    55NEF428KB                      BS.165520892          中芯上海   2016-12-27   2017-03-16   原始取得        无
 92    S55NEF512KX32_S0                BS.165520906          中芯上海   2016-12-27   2017-03-13   原始取得        无




                                                       482
中芯国际集成电路制造有限公司                                                                              招股意向书



序号                 布图设计名称          登记号         专有权人    申请日       登记日      取得方式     他项权利
 93    S95HVMTP2KB                  BS.165520914          中芯上海   2016-12-27   2017-03-16   原始取得        无
 94    SCC013URS_VHS_RVT            BS.165520922          中芯上海   2016-12-27   2017-03-13   原始取得        无




                                                    483